Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на след

Величина: px
Почињати приказ од странице:

Download "Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на след"

Транскрипт

1 Испит из Основа рачунарске технике OO - / (...) Р е ш е њ е Задатак Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на следећој слици: S R Асинхрони RS флип флопреализован помоћу НИЛИ кола је активан у логичкој јединици. Прво је потребно написати таблице које представљају закон фукционисања T флип флоп код којег је логичка нула активна вредност сигнала и RS флип флопа код којег је један активна вредност улазних сигнала: Након тога потребно је одредити вредности сигнала побуде RS флип флопа за све могуће прелазе стања: Следећи корак је одређивање сигнала побуде RS флип флопа на основу тренутног стања, вредности сигнала такта (јер је потребно конструисати синхрони помоћу асинхроног флип флопа) и вредности сигнала побуде T флип флопа: Наредни корак је одређивање минималног ДНФ или КНФ облика сигнала побуде помоћу Карнаоових карти затим добијене изразе трансформишемо у изразе који су сачињени од НИ логичке операције: T (t + ) (t) (t) (t) (t + ) R S R S (t + ) (t) C T (t) (t + ) R S C T C T R = C T S = C T R = C T = C T = C + T + S = C T = C T = C + T +

2 Последњи корак је цртање структурне шеме MS флип флопа коришћењем претходно одређених једначина, НИ елемената и асинхроног RS флип флоп. Из једначина представља стање slave флипфлопа: C T S C S RS RS C T R C R

3 Задатак x SUB F i B i E i+ a f x E i a x x x x I I I I a pcd W D D a a L i E i G i x B i CMP L i+ E i+ G i+ g Таблица кодера са приоритетима: I I I I D D W X X X X X X Закон функционисања кодера са приоритетима дат је следећим изразима: D = I + I D = I + I I W = I + I + I + I Таблица одузимача дата је следећим изразима: B i Е i F i Е i+ Закон функционисања сабирача дат је следећим изразима: F i = B i E i + B i E i + B i E i + B i E i Е i+ = B i E i + B i E i + B i E i + B i C i = B i + E i + B i E i

4 Закон функционисања компаратора дат је следећим изразима: G i+ = B i + G i ( B i + B i ) E i+ = E i ( B i + B i ) L i+ = B i + L i ( B i + B i ) Сменама се добијају изрази који описују сигнале a до a : a = D = I + I = x + x a = D = I + I I = x + x x a = W = I + I + I + I = x + x + x + x a = F i (SUB) = Ai B i E i + B i E i + B i E i + B i E i a = D x x + D x x + D x x + D x x a = x + x x x + x + x x x + (x + x ) x x + (x + x ) x x a = x x x x + x x x x + x x x + x x x + x x x + x x x (SUB) a = E i+ = Ai B i + E i + B i E i a = D x + D x + x x a = x + x x + x + x x + x x a = x x x + x x x + x x f = a a = (x x x x + x x x x + x x x + x x x + x x x + x x x ) (x x x + x x x + x x ) f = x x x x + x x x x + x x x + x x x f() = {,, X, X} f() = {,,,,, } f() = {,,,, } f() = {,,,, } X X X X 9 f = x x x x + x x x x + x x x + x x x f = x + x + x + x

5 g = L i+ = B i + L i ( B i + B i ) g = D x + W (D x + D x ) g = x + x x x + (x + x + x + x ) ((x + x x ) x + x + x x x ) g = x (x + x ) x + (x + x + x + x ) ((x + x x ) x + x (x + x ) x ) g = x x x + x x x + (x + x + x + x ) (x x + x x x + x x x + x x x ) g = x x x + x x x + x x + x x x x + x x x + x x x + x x x x + x x x + x x x + x x x x g = x x x + x x x + x x ( + x x + x + x ) + x x x ( + x + x ) + x x x g = x x x + x x x + x x + x x x + x x x g = x x (x + x ) + x x x + x x + x x x g = x x + x x x + x x + x x x g() = {XX, X, XX, X} g() = {,,,,,,,,,,, } g() = {,,,,,,,,, } g() = {,,,,,, 9,,, } X X X X 9 g = (x + x ) (x + x )

6 Задатак Прво је потребно на основу вредности сигнала побуде попунити таблицу прелаза за JK флип флоп код којег је активна вредност улазних сигнала: J K (t + ) (t) (t) Након тога потребно је на основу претходне таблице и свих могућих прелаза одреди вредности сигнала побуде D: (t + ) J K Следећи корак је одређивање вредности сигнала побуде JK флип флопа за сваку од операција. Прво вредности сигнала побуде за операцију паралелног уписа, након тога за операцију декрементирања и на крају за операцију брисања. За сваку од операција помоћу карнаоових карти одредиће се минимални ДНФ (или КНФ) облик сигнала побуде. Поред излаза који представља тренутно стање регистра постојаће и излаз који представља позајмицу која се пропагира у наредни разред. Прва је операција серијског уписа са померањем удесно: LD + (t) (t + ) J K LD Ai+ LD Ai+ J LD = LD + K LD = LD + +

7 Друга је операција декрементирања. Вредност сигнала позајмице за наредни разред се неће користити уколико је контролни сигнал неактиван, тако се може претпоставити да сигнал није дефинисан на тим векторима: DEC E i (t) + (t + ) E i+ J K DEC Ei E i+ = E i DEC Ei DEC Ei J DEC = DEC + E i K DEC = DEC + E i Следећа је операција брисања: CL (t) (t + ) J K J CL = K CL = CL Следећи корак јесте формирање обједињеног сигнала побуде, али се мора водити рачуна о последњем члану израза који је мало другачији (за конструкцију регистра се користи D флип флоп па је потребно обезбедити да се стање регистра очува ако ниједан контролни сигнал није активан). Обједињени сигнал побуде дат је следећим изразом:

8 J = (LD + ) (DEC + E i ) K = (LD + + ) (DEC + E i ) CL Послењи корак је цртање структурне шеме овог регистра. LD E i E i+ DEC J E i LD + C K JK DEC E i CL

9 Задатак Дијаграм тока микооперација: K K POWTWO L <=, L <= K L <= L +, CNT <= K L <= L + L CNT <= CNT - K L <= L L.. K CNT L <= R K S <= L XOR L

10 Дијаграм тока управљачких сигнала: K K POWTWO cll, cll K C, rdalu, ldl, ldcnt K S, rdalu, ldl deccnt K S, S, rdalu, ldl K CNT rdr, ldl K S, rdalu, lds

11 Задатак 9 Тачка А A.. DI.. DI.. DI.. DI.. A.. DI.. M A.. DI.. M A.. DI.. M A.. DI.. M DO.. DO.. DO.. DO.. DO.. DO.. DO.. DO.. Тачка Б A.. DI.. A.. DI.. M DO.. A A A E D D D I D I DC D I D D D A.. A.. DI.. M DO.. DI.. M DO.. A.. DI.. M DO.. DO..

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећ

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећ Испит из Основа рачунарске технике OO - 27/2 (9.6.2.) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећој слици: S Q R Q Асинхрони RS флип флопреализован помоћу НИ

Више

Орт колоквијум

Орт колоквијум Испит из Основа рачунарске технике - / (6.6.. Р е ш е њ е Задатак Комбинациона мрежа има пет улаза, по два за број освојених сетова тенисера и један сигнал који одлучује ко је бољи уколико је резултат

Више

Microsoft Word - SIORT1_2019_K1_resenje.docx

Microsoft Word - SIORT1_2019_K1_resenje.docx I колоквијум из Основа рачунарске технике I СИ- 208/209 (24.03.209.) Р е ш е њ е Задатак f(x, x 2, x 3 ) = (x + x x ) x (x x 2 + x ) + x x 2 x 3 f(x, x 2, x 3 ) = (x + x x ) (x x + (x )) 2 + x + x x 2

Више

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x I колоквијум из Основа рачунарске технике I СИ- / (...) Р е ш е њ е Задатак Тачка А Потребно је прво пронаћи вредности функција f(x, x, x ) и g(x, x, x ) на свим векторима. f(x, x, x ) = x x + x x + x

Више

Орт колоквијум

Орт колоквијум II колоквијум из Основа рачунарске технике I - 27/28 (.6.28.) Р е ш е њ е Задатак На улазе x, x 2, x 3, x 4 комбинационе мреже, са излазом z, долази четворобитни BCD број. Ако број са улаза при дељењу

Више

Увод у организацију и архитектуру рачунара 1

Увод у организацију и архитектуру рачунара 1 Увод у организацију и архитектуру рачунара 2 Александар Картељ kartelj@matf.bg.ac.rs Напомена: садржај ових слајдова је преузет од проф. Саше Малкова Увод у организацију и архитектуру рачунара 2 1 Секвенцијалне

Више

LAB PRAKTIKUM OR1 _ETR_

LAB PRAKTIKUM OR1 _ETR_ UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA, TELEKOMUNIKACIJE I RAČUNARI PREDMET: OSNOVE RAČUNARSTVA 1 FOND ČASOVA: 2+1+1 LABORATORIJSKA VJEŽBA BROJ 1 NAZIV: REALIZACIJA

Више

УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке Писмени део испита из предмета Увод у рачунарство 1. [7 пое

УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке Писмени део испита из предмета Увод у рачунарство 1. [7 пое УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке 30.06.2018. Писмени део испита из предмета Увод у рачунарство 1. [7 поена] Методом МакКласкија минимизарити систем прекидачких

Више

Орт колоквијум

Орт колоквијум Задатак 1 I колоквијум из Основа рачунарске технике I - надокнада - 008/009 (16.05.009.) Р е ш е њ е a) Пошто постоје вектори на којима се функција f не јавља и вектори на којима има вредност један, лако

Више

ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати и

ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати и ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати истинитоносне таблице изведених логичких везника (НИ,

Више

Орт колоквијум

Орт колоквијум I колоквијум из Основа рачунарске технике I - надокнада СИ - 008/009 (10.05.009.) Р е ш е њ е Задатак 1 a) Пошто постоје вектори на којима се функција f не јавља и вектори на којима има вредност један,

Више

6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe

6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe 6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe, očekuje se da su koordinate celobrojne. U slučaju

Више

Logicko projektovanje racunarskih sistema I

Logicko projektovanje racunarskih sistema I POKAZNA VEŽBA 10 Strukture za računanje Potrebno predznanje Urađena pokazna vežba 8 Poznavanje aritmetičkih digitalnih sistema i aritmetičko-logičkih jedinica Osnovno znanje upravljačkih jedinica digitalnih

Више

Logičke izjave i logičke funkcije

Logičke izjave i logičke funkcije Logičke izjave i logičke funkcije Građa računala, prijenos podataka u računalu Što su logičke izjave? Logička izjava je tvrdnja koja može biti istinita (True) ili lažna (False). Ako je u logičkoj izjavi

Више

Algoritmi i arhitekture DSP I

Algoritmi i arhitekture DSP I Univerzitet u Novom Sadu Fakultet Tehničkih Nauka Katedra za računarsku tehniku i međuračunarske komunikacije Algoritmi i arhitekture DSP I INTERNA ORGANIACIJA DIGITALNOG PROCESORA A OBRADU SIGNALA INTERNA

Више

Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: min c T x Ax = b x 0 x Z n Gde pretpostavljamo da je A celobrojna matrica

Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: min c T x Ax = b x 0 x Z n Gde pretpostavljamo da je A celobrojna matrica Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: min c T x Ax = b x 0 x Z n Gde pretpostavljamo da je A celobrojna matrica dimenzije m n, b Z m, c Z n. Takođe, očekuje se da

Више

ELEKTRONIKA

ELEKTRONIKA МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА РЕПУБЛИКЕ СРБИЈЕ ЗАЈЕДНИЦА ЕЛЕКТРОТЕХНИЧКИХ ШКОЛА РЕПУБЛИКЕ СРБИЈЕ ДВАДЕСЕТ ДРУГО РЕГИОНАЛНО ТАКМИЧЕЊЕ ЗАДАЦИ ИЗ ЕЛЕКТРОНИКЕ ЗА УЧЕНИКЕ ТРЕЋЕГ РАЗРЕДА

Више

VIK-01 opis

VIK-01 opis Višenamensko interfejsno kolo VIK-01 Višenamensko interfejsno kolo VIK-01 (slika 1) služi za povezivanje različitih senzora: otpornog senzora temperature, mernih traka u mostnoj vezi, termopara i dr. Pored

Више

ТРОУГАО БРЗИНА и математичка неисправност Лоренцове трансформације у специјалној теорији релативности Александар Вукеља www.

ТРОУГАО БРЗИНА и математичка неисправност Лоренцове трансформације у специјалној теорији релативности Александар Вукеља www. ТРОУГАО БРЗИНА и математичка неисправност Лоренцове трансформације у специјалној теорији релативности Александар Вукеља aleksandar@masstheory.org www.masstheory.org Август 2007 О ауторским правима: Дело

Више

1

1 Podsetnik: Statističke relacije Matematičko očekivanje (srednja vrednost): E X x p x p x p - Diskretna sl promenljiva 1 1 k k xf ( x) dx E X - Kontinualna sl promenljiva Varijansa: Var X X E X E X 1 N

Више

Microsoft Word - 4.Ee1.AC-DC_pretvaraci.10

Microsoft Word - 4.Ee1.AC-DC_pretvaraci.10 AC-DC ПРЕТВАРАЧИ (ИСПРАВЉАЧИ) Задатак 1. Једнофазни исправљач са повратном диодом, са слике 1, прикључен на напон 1 V, 5 Hz напаја потрошач велике индуктивности струјом од 1 А. Нацртати таласне облике

Више

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode]

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode] ij Cilj: Dobiti što više informacija o ponašanju digitalnih kola za što kraće vreme. Metod: - Detaljni talasni oblik signala prikazati samo na nivou logičkih stanja. - Simulirati ponašanje kola samo u

Више

УНИВЕРЗИТЕТ У БЕОГРАДУ МАШИНСКИ ФАКУЛТЕТ Предмет: КОМПЈУТЕРСКА СИМУЛАЦИЈА И ВЕШТАЧКА ИНТЕЛИГЕНЦИЈА Задатак број: Лист/листова: 1/1 Задатак 5.1 Pостоје

УНИВЕРЗИТЕТ У БЕОГРАДУ МАШИНСКИ ФАКУЛТЕТ Предмет: КОМПЈУТЕРСКА СИМУЛАЦИЈА И ВЕШТАЧКА ИНТЕЛИГЕНЦИЈА Задатак број: Лист/листова: 1/1 Задатак 5.1 Pостоје Лист/листова: 1/1 Задатак 5.1 Pостоје софтвери за препознавање бар кодова који знатно олакшавају велики број операција које захтевају препознавање објеката. Слика 1: Приказ свих слова за које је ART-1

Више

Microsoft PowerPoint - Bitovi [Compatibility Mode]

Microsoft PowerPoint - Bitovi [Compatibility Mode] Оператори над битовима (Јаничић, Марић: Програмирање 2, тачка 5.6) Оператори за рад са појединачним битовима Само на целобројне аргументе: ~ битовска негација & битовска конјункција (и) битовска (инклузивна)

Више

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ и технолошког развоја ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВН

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ и технолошког развоја ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВН Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ и технолошког развоја ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВНОГ ОБРАЗОВАЊА И ВАСПИТАЊА школска 2018/2019. година

Више

Microsoft Word - Domacii zadatak Vektori i analiticka geometrija OK.doc

Microsoft Word - Domacii zadatak Vektori i analiticka geometrija OK.doc задатак. Вектор написати као линеарну комбинацију вектора.. }. } } }. }. } } }. }. } } }. }. } } 9}. }. } } }. }. } } }. }. } } } 9 8. }. } } } 9. }. } } }. }. } } }. }. } } }. }. } } }. }. } } }. }. }

Више

ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ

ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ Универзитет у Београду, Електротехнички факултет, Катедра за енергетске претвараче и погоне ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ (3Е3ЕНТ) Јул 9. Трофазни уљни енергетски трансформатор са номиналним подацима: 4 V,

Више

Univerzitet u Beogradu Elektrotehnički fakultet Katedra za energetske pretvarače i pogone ISPIT IZ SINHRONIH MAŠINA (13E013SIM) 1. Poznati su podaci o

Univerzitet u Beogradu Elektrotehnički fakultet Katedra za energetske pretvarače i pogone ISPIT IZ SINHRONIH MAŠINA (13E013SIM) 1. Poznati su podaci o Univerzitet u Beogradu Elektrotehnički akultet Katedra za energetske pretvarače i pogone ISPIT IZ SINHRONIH MAŠINA (13E013SIM) 1. Poznati su podaci o namotaju statora sinhronog motora sa stalnim magnetima

Више

?? ????????? ?????????? ?????? ?? ????????? ??????? ???????? ?? ??????? ??????:

?? ????????? ?????????? ?????? ?? ????????? ??????? ???????? ?? ??????? ??????: РЈЕШЕЊА ЗАДАТАКА СА ТАКМИЧЕЊА ИЗ ЕЛЕКТРИЧНИХ МАШИНА Електријада 003 АСИНХРОНЕ МАШИНЕ Трофазни асинхрони мотор са намотаним ротором има податке: 380V 10A cos ϕ 08 Y 50Hz p отпор статора R s Ω Мотор је испитан

Више

Microsoft Word - eg_plan_mart2007.doc

Microsoft Word - eg_plan_mart2007.doc 1 Информатор Електротехничког факултета ЕНЕРГЕТИКА С Т А Т У Т 004 и 0004 Информатор Електротехничког факултета НАСТАВНИ ПЛАН ОДСЕКА ЗА ЕНЕРГЕТИКУ СМЕР ЗА ЕЛЕКТРОЕНЕРГЕТСКЕ СИСТЕМЕ (ЕЕС). семестар.1 Математика

Више

Slide 1

Slide 1 Катедра за управљање системима ТЕОРИЈА СИСТЕМА Предавањe 2: Основни појмови - систем, модел система, улаз и излаз UNIVERSITY OF BELGRADE FACULTY OF ORGANIZATIONAL SCIENCES План предавања 2018/2019. 1.

Више

Техничко решење: Софтвер за симулацију стохастичког ортогоналног мерила сигнала, његовог интеграла и диференцијала Руководилац пројекта: Владимир Вуји

Техничко решење: Софтвер за симулацију стохастичког ортогоналног мерила сигнала, његовог интеграла и диференцијала Руководилац пројекта: Владимир Вуји Техничко решење: Софтвер за симулацију стохастичког ортогоналног мерила сигнала, његовог интеграла и диференцијала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аутори: Велибор

Више

zad_6_2.doc

zad_6_2.doc .. S- i S- komunikacioni standardi Zadatak. Pomoću MX i čipa, potrebno je realizovati konvertor S- na S-. MX ima raspored pinova kao na slici..,0μf +V +V ULZ V CC T IN T IN OUT IN T OUT 0 9 OUT IN T OUT

Више

Microsoft PowerPoint - MODELOVANJE-predavanje 9.ppt [Compatibility Mode]

Microsoft PowerPoint - MODELOVANJE-predavanje 9.ppt [Compatibility Mode] MODELONJE I SIMULIJ PROES 9. Rešavanje dinamičkih modela; osnovni pojmovi upravljanja procesima http://elektron.tmf.bg.ac.rs/mod Dr Nikola Nikačević METODE Z REŠNJE LINERNIH DINMIČKIH MODEL 1. remenski

Више

Microsoft Word - NULE FUNKCIJE I ZNAK FUNKCIJE.doc

Microsoft Word - NULE FUNKCIJE I ZNAK FUNKCIJE.doc NULE FUNKCIJE I ZNAK FUNKCIJE NULE FUNKCIJE su mesta gde grafik seče osu a dobijaju se kao rešenja jednačine y= 0 ( to jest f ( ) = 0 ) Mnogi profesori vole da se u okviru ove tačke nadje i presek sa y

Више

F-6-14

F-6-14 РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ЕКОНОМИЈЕ И РЕГИОНАЛНИХ ОДНОСА ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11 000 Београд, Мике Аласа 14, поштански преградак 34, ПАК 105305 телефон: (011) 3282-736, телефакс: (011)

Више

Nastavna cjelina: 1. Jezik računala Kataloška tema: 1.1. Bit 1.2. Brojevi zapisani četvorkom bitova Nastavna jedinica: 1.1. Bit   1.2. Brojevi zapisan

Nastavna cjelina: 1. Jezik računala Kataloška tema: 1.1. Bit 1.2. Brojevi zapisani četvorkom bitova Nastavna jedinica: 1.1. Bit   1.2. Brojevi zapisan Nastavna cjelina: 1. Osnove IKT-a Kataloška tema: 1.6. Paralelni i slijedni ulazno-izlazni pristupi računala 1.7. Svojstva računala Unutar računala podatci su prikazani električnim digitalnim signalima

Више

Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић

Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аутори: Драган Пејић, Бојан Вујичић, Небојша Пјевалица,

Више

Републички педагошки завод Бања Лука Стручни савјетник за машинску групу предмета и практичну наставу Датум: године Тема: Елементи и начин

Републички педагошки завод Бања Лука Стручни савјетник за машинску групу предмета и практичну наставу Датум: године Тема: Елементи и начин Републички педагошки завод Бања Лука Стручни савјетник за машинску групу предмета и практичну наставу Датум:.06.2009. године Тема: Елементи и начин вредновања графичког рада из раванских носачи 1 Увод:

Више

Pred_PLS_2

Pred_PLS_2 Sinteza logičkih kola Vanr.prof.dr.Lejla Banjanović- Mehmedović Sadržaj izlaganja Procedura projektovanja logičkih kola Osnovni elementi u projektovanju logičkih kola Primjeri sinteze logičkih kola Koraci

Више

1 Polinomi jedne promenljive Neka je K polje. Izraz P (x) = a 0 + a 1 x + + a n x n = n a k x k, x K, naziva se algebarski polinom po x nad poljem K.

1 Polinomi jedne promenljive Neka je K polje. Izraz P (x) = a 0 + a 1 x + + a n x n = n a k x k, x K, naziva se algebarski polinom po x nad poljem K. 1 Polinomi jedne promenljive Neka je K polje. Izraz P (x) = a 0 + a 1 x + + a n x n = n a k x k, x K, naziva se algebarski polinom po x nad poljem K. Elementi a k K su koeficijenti polinoma P (x). Ako

Више

Ravno kretanje krutog tela

Ravno kretanje krutog tela Ravno kretanje krutog tela Brzine tačaka tela u reprezentativnom preseku Ubrzanja tačaka u reprezentativnom preseku Primer određivanja brzina i ubrzanja kod ravnog mehanizma Ravno kretanje krutog tela

Више

23. siječnja od 13:00 do 14:00 Školsko natjecanje / Osnove informatike Srednje škole RJEŠENJA ZADATAKA S OBJAŠNJENJIMA Sponzori Medijski pokrovi

23. siječnja od 13:00 do 14:00 Školsko natjecanje / Osnove informatike Srednje škole RJEŠENJA ZADATAKA S OBJAŠNJENJIMA Sponzori Medijski pokrovi 3. siječnja 0. od 3:00 do 4:00 RJEŠENJA ZADATAKA S OBJAŠNJENJIMA Sponzori Medijski pokrovitelji Sadržaj Zadaci. 4.... Zadaci 5. 0.... 3 od 8 Zadaci. 4. U sljedećim pitanjima na pitanja odgovaraš upisivanjem

Више

Zbirka resenih zadataka iz arhitekture racunara

Zbirka resenih zadataka iz arhitekture racunara Ј. ЂОРЂЕВИЋ, З. РАДИВОЈЕВИЋ, М. ПУНТ, Б. НИКОЛИЋ, Д. МИЛИЋЕВ, Ј. ПРОТИЋ, А. МИЛЕНКОВИЋ АРХИТЕКТУРА И ОРГАНИЗАЦИЈА РАЧУНАРА ПРЕКИДИ, МАГИСТРАЛА И УЛАЗ/ИЗЛАЗ ЗБИРКА РЕШЕНИХ ЗАДАТАКА Београд 2013. i САДРЖАЈ

Више

Microsoft PowerPoint - 12a PEK EMT VHDL 1 od 4 - Uvod (2011).ppt [Compatibility Mode]

Microsoft PowerPoint - 12a PEK EMT VHDL 1 od 4 - Uvod (2011).ppt [Compatibility Mode] VHDL jezik za opis hardvera VHDL jezik za opis hardvera VHDL jezik za opis hardvera Prof. Dr Predrag Petković Dr Miljana Milić Sadržaj 1. Šta je VHDL? 2. Opis hardvera 3. Signali 4. Osnove VHDL pravopisa

Више

PowerPoint Presentation

PowerPoint Presentation МОБИЛНЕ МАШИНЕ I предавање. \ хидродинамичке трансмисије, компоненте, вучне карактеристике Хидродинамичке трансмисије мобилних машина општа концепција: v v v v - дизел мотор -хидродинамички претварач -

Више

PRIRODNO MATEMATIČKI FAKULTET U NIŠU DEPARTMAN ZA RAČUNARSKE NAUKE Utorak, godine PRIJEMNI ISPIT IZ INFORMATIKE 1. Koja od navedenih ekste

PRIRODNO MATEMATIČKI FAKULTET U NIŠU DEPARTMAN ZA RAČUNARSKE NAUKE Utorak, godine PRIJEMNI ISPIT IZ INFORMATIKE 1. Koja od navedenih ekste PRIRODNO MATEMATIČKI FAKULTET U NIŠU DEPARTMAN ZA RAČUNARSKE NAUKE Utorak, 5.06.019. godine PRIJEMNI ISPIT IZ INFORMATIKE 1. Koja od navedenih ekstenzija se najčešće koristi za tekstualne datoteke? a)

Више

Талесова 1 теорема и примене - неки задаци из збирке Дефинициjа 1: Нека су a и b две дужи чиjе су дужине изражене преко мерне jединице k > 0, тако да

Талесова 1 теорема и примене - неки задаци из збирке Дефинициjа 1: Нека су a и b две дужи чиjе су дужине изражене преко мерне jединице k > 0, тако да Талесова 1 теорема и примене - неки задаци из збирке Дефинициjа 1: Нека су и две дужи чиjе су дужине изражене преко мерне jединице k > 0, тако да jе m k и n k, где су m, n > 0. Тада кажемо да су дужи и

Више

P1.2 Projektovanje asemblera

P1.2 Projektovanje asemblera ПРОЈЕКТОВАЊЕ АСЕМБЛЕРА Асемблер Модули асемблера 1 Дефинисање новог лингвистичког нивоа превођењем Потребан преводилац алат који преводи програм написан на једном језику (на једном лингвистичком нивоу)

Више

Задатак 4: Центрифугална пумпа познате карактеристике при n = 1450 min -1 пребацује воду из резервоара A и B у резервоар C кроз цевовод приказан на сл

Задатак 4: Центрифугална пумпа познате карактеристике при n = 1450 min -1 пребацује воду из резервоара A и B у резервоар C кроз цевовод приказан на сл Задатак 4: Центрифугална пумпа познате карактеристике при n = 1450 min -1 пребацује воду из резервоара A и B у резервоар C кроз цевовод приказан на слици. Разлике нивоа у резервоарима износе h = 5 m и

Више

Microsoft Word - ETH2_EM_Amperov i generalisani Amperov zakon - za sajt

Microsoft Word - ETH2_EM_Amperov i generalisani Amperov zakon - za sajt Полупречник унутрашњег проводника коаксијалног кабла је Спољашњи проводник је коначне дебљине унутрашњег полупречника и спољашњег Проводници кабла су начињени од бакра Кроз кабл протиче стална једносмерна

Више

Programski jezik QBasic Kriteriji ocjenjivanja programiranje(b) - QBasic razred 42

Programski jezik QBasic Kriteriji ocjenjivanja programiranje(b) - QBasic razred 42 Kriteriji ocjenjivanja programiranje(b) - QBasic 5. - 8. razred 42 5. RAZRED - prisjeća sa pojmova: algoritam, algoritma slijeda i grananja, dijagrama toka, te ulaznih i izlaznih jedinica, ne shvaća njihovo

Више

Programski jezik QBasic Kriteriji ocjenjivanja programiranje(b) - QBasic razred 42

Programski jezik QBasic Kriteriji ocjenjivanja programiranje(b) - QBasic razred 42 Kriteriji ocjenjivanja programiranje(b) - QBasic 5. - 8. razred 42 5. RAZRED - prisjeća sa pojmova: algoritam, algoritma slijeda i grananja, dijagrama toka, te ulaznih i izlaznih jedinica, ne shvaća njihovo

Више

Универзитет у Нишу Природно-математички факултет Увод у рачунарство Број индекса 200 II домаћи задатак 1. За прекидачку функцију ff(xx 1, xx 2, xx 3 )

Универзитет у Нишу Природно-математички факултет Увод у рачунарство Број индекса 200 II домаћи задатак 1. За прекидачку функцију ff(xx 1, xx 2, xx 3 ) Универзитет у Нишу Природно-математички факултет Увод у рачунарство Број индекса 200 II домаћи задатак 1. За прекидачку функцију ff(xx 1, xx 2, xx 3 ) = (xx 1 + xx 2 + xx 3 )(xx 1 + xx 2 + )(xx 3 1 + xx

Више

FIZIČKA ELEKTRONIKA

FIZIČKA ELEKTRONIKA Univerzitet u Nišu Elektronski fakultet PRAKTIKUM ZA LABORATORIJSKE VEŽBE IZ PREDMETA POLUPROVODNIČKE KOMPONENTE (IV semestar modul EKM) Aneta Prijić Miloš Marjanović SPISAK VEŽBI 1. Ispravljačka diodna

Више

СТРАХИЊА РАДИЋ КЛАСИФИКАЦИJА ИЗОМЕТРИJА И СЛИЧНОСТИ Према књизи [1], свака изометриjа σ се може представити ком позици - jом неке транслациjе за векто

СТРАХИЊА РАДИЋ КЛАСИФИКАЦИJА ИЗОМЕТРИJА И СЛИЧНОСТИ Према књизи [1], свака изометриjа σ се може представити ком позици - jом неке транслациjе за векто СТРАХИЊА РАДИЋ КЛАСИФИКАЦИJА ИЗОМЕТРИJА И СЛИЧНОСТИ Према књизи [1], свака изометриjа σ се може представити ком позици - jом неке транслациjе за вектор a (коjи може бити и дужине нула) и неке изометриjе

Више

F-6-158

F-6-158 РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ЕКОНОМИЈЕ И РЕГИОНАЛНОГ РАЗВОЈА ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11 000 Београд, Мике Аласа 14, поштански фах 384 телефон: (011) 328-2736, телефакс: (011) 2181-668 На

Више

M-3-643

M-3-643 РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ЕКОНОМИЈЕ И РЕГИОНАЛНОГ РАЗВОЈА ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11 000 Београд, Мике Аласа 14, поштански фах 384 телефон: (011) 328-2736, телефакс: (011) 2181-668 На

Више

М А Т Е М А Т И К А Први разред (180) Предмети у простору и односи међу њима (10; 4 + 6) Линија и област (14; 5 + 9) Класификација предмета према свој

М А Т Е М А Т И К А Први разред (180) Предмети у простору и односи међу њима (10; 4 + 6) Линија и област (14; 5 + 9) Класификација предмета према свој М А Т Е М А Т И К А Први разред (180) Предмети у простору и односи међу њима (10; 4 + 6) Линија и област (14; 5 + 9) Класификација предмета према својствима (6; 2 + 4) Природни бројеви до 100 (144; 57

Више

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i za generisanje željenih izlaznih signala (slika 1).

Више

Техничко решење: Метода мерења реактивне снаге у сложенопериодичном режиму Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аут

Техничко решење: Метода мерења реактивне снаге у сложенопериодичном режиму Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аут Техничко решење: Метода мерења реактивне снаге у сложенопериодичном режиму Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аутори: Иван Жупунски, Небојша Пјевалица, Марјан Урекар,

Више

Microsoft Word - AIDA2kolokvijumRsmerResenja.doc

Microsoft Word - AIDA2kolokvijumRsmerResenja.doc Konstrukcija i analiza algoritama 2 (prvi kolokvijum, smer R) 1. a) Konstruisati AVL stablo od brojeva 100, 132, 134, 170, 180, 112, 188, 184, 181, 165 (2 poena) b) Konkatenacija je operacija nad dva skupa

Више

Inženjering informacionih sistema

Inženjering informacionih sistema Fakultet tehničkih nauka, Novi Sad Inženjering informacionih sistema Dr Ivan Luković Dr Slavica Kordić Nikola Obrenović Milanka Bjelica Dr Jelena Borocki Dr Milan Delić UML UML (Unified Modeling Language)

Више

STABILNOST SISTEMA

STABILNOST SISTEMA STABILNOST SISTEMA Najvaznija osobina sistema automatskog upravljanja je stabilnost. Generalni zahtev koji se postavlja pred projektanta jeste da projektovani i realizovani sistem automatskog upravljanja

Више

Microsoft Word - KVADRATNA FUNKCIJA.doc

Microsoft Word - KVADRATNA FUNKCIJA.doc KVADRATNA FUNKCIJA Kvadratna funkcija je oblika: = a + b+ c Gde je R, a i a, b i c su realni brojevi. Kriva u ravni koja predstavlja grafik funkcije = a + b+ c je parabola. Najpre ćemo naučiti kako izgleda

Више

Microsoft PowerPoint - 12 PAIK Planiranje rasporeda modula (2016) [Compatibility Mode]

Microsoft PowerPoint - 12 PAIK Planiranje rasporeda modula  (2016) [Compatibility Mode] Integrisana kola sa mešovitim signalima Projektovanje analognih integrisanih kola Prof. Dr Predrag Petković, Dejan Mirković Katedra za elektroniku Elektronski fakultet Niš Sadržaj: I. Uvod II. Lejaut analognih

Више

Vjezbe

Vjezbe SOFTVERSKO INŽENJERSTVO Vježbe 8: Activity dijagrami Robert Manger Sveučilište u Zagrebu PMF-Matematički odsjek Akademska godina 2018/2019. Sadržaj Vježbi 8 Općenito o activity dijagramima Aktivnosti,

Више

Архитектура и организациjа рачунара Милан Банковић 10. април 2019.

Архитектура и организациjа рачунара Милан Банковић 10. април 2019. Архитектура и организациjа рачунара Милан Банковић 10. април 2019. 2 Садржаj I Основи дигиталне логике 5 1 Логичке функциjе и логички изрази 7 1.1 Булова алгебра............................ 7 1.1.1 Аксиоме

Више

Microsoft PowerPoint - 1.DE.RI3g.09.Uvod

Microsoft PowerPoint - 1.DE.RI3g.09.Uvod Дејан Јокић Миломир Шоја Предмет: ДИГИТАЛНА ЕЛЕКТРОНИКА Број кредита: 6 Седмично часова: 2+2+12+1 (П+АВ+ЛВ) Укупно часова: 30+45 Пун назив ДИГИТАЛНА ЕЛЕКТРОНИКА Скраћени назив Статус Семестар ЕСПБ Фонд

Више

Slide 1

Slide 1 Osnove industrijskog inženjerstva Proučavanje međuzavisnosti zahvata Sinhronizacija resursa Dragoslav Slović Proučavanje međuzavisnosti zahvata Transportne staze M-S UM IM M RS ulaz - izlaz radnika IM

Више

My_ST_FTNIspiti_Free

My_ST_FTNIspiti_Free ИСПИТНИ ЗАДАЦИ СУ ГРУПИСАНИ ПО ТЕМАМА: ЛИМЕСИ ИЗВОДИ ФУНКЦИЈЕ ЈЕДНЕ ПРОМЕНЉИВЕ ИСПИТИВАЊЕ ТОКА ФУНКЦИЈЕ ЕКСТРЕМИ ФУНКЦИЈЕ СА ВИШЕ ПРОМЕНЉИВИХ 5 ИНТЕГРАЛИ ДОДАТАК ФТН Испити С т р а н а Лимеси Одредити

Више

Matematiqki fakultet Univerzitet u Beogradu Iracionalne jednaqine i nejednaqine Zlatko Lazovi 29. mart 2017.

Matematiqki fakultet Univerzitet u Beogradu Iracionalne jednaqine i nejednaqine Zlatko Lazovi 29. mart 2017. Matematiqki fakultet Univerzitet u Beogradu 29. mart 2017. Matematiqki fakultet 2 Univerzitet u Beogradu Glava 1 Iracionalne jednaqine i nejednaqine 1.1 Teorijski uvod Pod iracionalnim jednaqinama podrazumevaju

Више

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ У ОСНОВНОМ ОБРА

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ У ОСНОВНОМ ОБРА Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ У ОСНОВНОМ ОБРАЗОВАЊУ И ВАСПИТАЊУ школска 018/019. година ТЕСТ МАТЕМАТИКА

Више

Z-15-85

Z-15-85 РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ЕКОНОМИЈЕ И РЕГИОНАЛНОГ РАЗВОЈА ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11 000 Београд, Мике Аласа 14, пошт. преградак 34, ПАК 105305 телефон: (011) 328-2736, телефакс: (011)

Више

Microsoft Word - CAD sistemi

Microsoft Word - CAD sistemi U opštem slučaju, se mogu podeliti na 2D i 3D. 2D Prvo pojavljivanje 2D CAD sistema se dogodilo pre više od 30 godina. Do tada su inženjeri koristili table za crtanje (kulman), a zajednički jezik komuniciranja

Више

oae_10_dom

oae_10_dom ETF U BEOGRADU, ODSEK ZA ELEKTRONIKU Milan Prokin Radivoje Đurić domaći zadaci - 2010 1. Domaći zadatak 1.1. a) [4] Nacrtati direktno spregnut pojačavač (bez upotrebe sprežnih kondenzatora) sa NPN tranzistorima

Више

1. Vrednost izraza jednaka je: Rexenje Direktnim raqunom dobija se = 4 9, ili kra e S = 1 ( 1 1

1. Vrednost izraza jednaka je: Rexenje Direktnim raqunom dobija se = 4 9, ili kra e S = 1 ( 1 1 1. Vrednost izraza 1 1 + 1 5 + 1 5 7 + 1 7 9 jednaka je: Rexenje Direktnim raqunom dobija se 1 + 1 15 + 1 5 + 1 6 = 4 9, ili kra e S = 1 1 1 2 + 1 1 5 + 1 5 1 7 + 1 7 1 ) = 1 7 2 8 9 = 4 9. 2. Ako je fx)

Више

TEORIJA SIGNALA I INFORMACIJA

TEORIJA SIGNALA I INFORMACIJA Multiple Input/Multiple Output sistemi MIMO sistemi Ulazi (pobude) Izlazi (odzivi) u 1 u 2 y 1 y 2 u k y r Obrada=Matematički model Načini realizacije: fizički sistemi (hardware) i algoritmi (software)

Више

ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ

ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ Универзитет у Београду Електротехнички факултет Катедра за енергетске претвараче и погоне ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ (ЕЕНТ) Фебруар 8. Трофазни уљни енергетски трансформатор са номиналним подацима: S =

Више

ЕНЕРГЕТСКИ ПРЕТВАРАЧИ септембар 2005

ЕНЕРГЕТСКИ ПРЕТВАРАЧИ септембар 2005 ЕНЕРГЕТСКИ ПРЕТВАРАЧИ јануар 0. год.. Потрошач чија је привидна снага S =500kVA и фактор снаге cosφ=0.8 (индуктивно) прикључен је на мрежу 3x380V, 50Hz. У циљу компензације реактивне снаге, паралелно са

Више

Dijagrami sekvenci

Dijagrami sekvenci Dijagrami sekvenci Izrada dijagrama sekvenci Dijagram sekvenci koristi se za specifikaciju vremenskih zahteva u opisu složenih scenarija opis toka poruka između objekata kojima se realizuje odgovarajuća

Више

Microsoft Word - Elektrijada_V2_2014_final.doc

Microsoft Word - Elektrijada_V2_2014_final.doc I област. У колу сталне струје са слике када је и = V, амперметар показује I =. Одредити показивање амперметра I када је = 3V и = 4,5V. Решење: а) I = ) I =,5 c) I =,5 d) I = 7,5 3 3 Слика. I област. Дата

Више

ЛИНЕАРНА ФУНКЦИЈА ЛИНЕАРНА ФУНКЦИЈА у = kх + n А утврди 1. Које од наведених функција су линеарне: а) у = 2х; б) у = 4х; в) у = 2х 7; г) у = 2 5 x; д)

ЛИНЕАРНА ФУНКЦИЈА ЛИНЕАРНА ФУНКЦИЈА у = kх + n А утврди 1. Које од наведених функција су линеарне: а) у = 2х; б) у = 4х; в) у = 2х 7; г) у = 2 5 x; д) ЛИНЕАРНА ФУНКЦИЈА ЛИНЕАРНА ФУНКЦИЈА у = kх + n А утврди 1. Које од наведених функција су линеарне: а) у = х; б) у = 4х; в) у = х 7; г) у = 5 x; д) у = 5x ; ђ) у = х + х; е) у = x + 5; ж) у = 5 x ; з) у

Више

9. : , ( )

9.  :  ,    ( ) 9. Динамика тачке: Енергиjа, рад и снага (први део) др Ратко Маретић др Дамир Мађаревић Департман за Техничку механику, Факултет техничких наука Нови Сад Садржаj - Шта ћемо научити (1) 1. Преглед литературе

Више

Microsoft Word - Novi proizvod - Sistem za komunikaciju 720 v1.doc

Microsoft Word - Novi proizvod - Sistem za komunikaciju 720 v1.doc ТЕХНИЧКО РЕШЕЊЕ Нови производ: Једносмерна дистрибуција напона као оптимално решење коришћења енергије алтернативних извора Руководилац пројекта: Живанов Љиљана Одговорно лице: Лазић Мирослав Аутори: Лазић

Више

VISOKA TEHNI^KA [KOLA STRUKOVNIH STUDIJA MILORADOVI] MIROLJUB M A T E M A T I K A NERE[ENI ZADACI ZA PRIJEMNI ISPIT AGRONOMIJA, EKOLOGIJA, E

VISOKA TEHNI^KA [KOLA STRUKOVNIH STUDIJA MILORADOVI] MIROLJUB M A T E M A T I K A NERE[ENI ZADACI ZA PRIJEMNI ISPIT AGRONOMIJA, EKOLOGIJA, E VISOKA TEHNI^KA [KOLA STRUKOVNIH STUDIJA PO@AREVAC MILORADOVI] MIROLJUB M A T E M A T I K A NERE[ENI ZADACI ZA PRIJEMNI ISPIT AGRONOMIJA, EKOLOGIJA, ELEKTROTEHNIKA, MA[INSTVO PO@AREVAC 007 OBAVEZNO PRO^ITATI!

Више

Рационални Бројеви Скуп рационалних бројева 1. Из скупа { 3 4, 2, 4, 11, 0, , 1 5, 12 3 } издвој подскуп: а) природних бројева; б) целих броје

Рационални Бројеви Скуп рационалних бројева 1. Из скупа { 3 4, 2, 4, 11, 0, , 1 5, 12 3 } издвој подскуп: а) природних бројева; б) целих броје Рационални Бројеви Скуп рационалних бројева. Из скупа {,,,, 0,,, } издвој подскуп: а) природних бројева; б) целих бројева; в) ненегативних рационалних бројева; г) негативних рационалних бројева.. Запиши

Више

kriteriji ocjenjivanja - informatika 8

kriteriji ocjenjivanja - informatika 8 8. razred Nastavne cjeline: 1. Osnove informatike 2. Pohranjivanje multimedijalnih sadržaja, obrada zvuka 3. Baze podataka - MS Access 4. Izrada prezentacije 5. Timska izrada web stranice 6. Kritički odnos

Више

P11.3 Analiza zivotnog veka, Graf smetnji

P11.3 Analiza zivotnog veka, Graf smetnji Поједностављени поглед на задњи део компајлера Међурепрезентација (Међујезик IR) Избор инструкција Додела ресурса Распоређивање инструкција Инструкције циљне архитектуре 1 Поједностављени поглед на задњи

Више

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВН

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВН Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВНОГ ОБРАЗОВАЊА И ВАСПИТАЊА школска 2012/2013. година

Више

M e h a n i k a 1 v e ž b e 4 /1 1 Primer 3.1 Za prostu gredu prikazanu na slici odrediti otpore oslonaca i nacrtati osnovne statičke dijagrame. q = 0

M e h a n i k a 1 v e ž b e 4 /1 1 Primer 3.1 Za prostu gredu prikazanu na slici odrediti otpore oslonaca i nacrtati osnovne statičke dijagrame. q = 0 M e h a n i k a 1 v e ž b e 4 /1 1 Primer 3.1 Za prostu gredu prikazanu na slici odrediti otpore oslonaca i nacrtati osnovne statičke dijagrame. q = 0.8 kn m, L=4m. 1. Z i = Z A = 0. Y i = Y A L q + F

Више

PROGRAMIRANJE Program je niz naredbi razumljivih računalu koje rješavaju neki problem. Algoritam je postupak raščlanjivanja problema na jednostavnije

PROGRAMIRANJE Program je niz naredbi razumljivih računalu koje rješavaju neki problem. Algoritam je postupak raščlanjivanja problema na jednostavnije PROGRAMIRANJE Program je niz naredbi razumljivih računalu koje rješavaju neki problem. Algoritam je postupak raščlanjivanja problema na jednostavnije korake. Uz dobro razrađen algoritam neku radnju ćemo

Више

Задатак 4: Центрифугална пумпа познате карактеристике при n = 2900 min -1 ради на инсталацији приказаној на слици и потискује воду из резервоара А у р

Задатак 4: Центрифугална пумпа познате карактеристике при n = 2900 min -1 ради на инсталацији приказаној на слици и потискује воду из резервоара А у р Задатак 4: Центрифугална пумпа познате карактеристике при n = 900 min -1 ради на инсталацији приказаној на слици и потискује воду из резервоара А у резервоар B. Непосредно на излазу из пумпе постављен

Више

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ У ОСНОВНОМ ОБРА

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ У ОСНОВНОМ ОБРА Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ У ОСНОВНОМ ОБРАЗОВАЊУ И ВАСПИТАЊУ школска 016/017. година ТЕСТ МАТЕМАТИКА

Више

M e h a n i k a 1 v e ž b e 4 / 2 9 Primer 3.5 Za prostu gredu prikazanu na slici odrediti otpore oslonaca i nacrtati osnovne statičke dijagrame. Pozn

M e h a n i k a 1 v e ž b e 4 / 2 9 Primer 3.5 Za prostu gredu prikazanu na slici odrediti otpore oslonaca i nacrtati osnovne statičke dijagrame. Pozn M e h a n i k a 1 v e ž b e 4 / 9 Primer 3.5 Za prostu gredu prikazanu na slici odrediti otpore oslonaca i nacrtati osnovne statičke dijagrame. Poznata su opterećenja F 1 = kn, F = 1kN, M 1 = knm, q =

Више

EНЕРГЕТСКИ ПРЕТВАРАЧИ 1 јануар Трофазни једнострани исправљач прикључен је на круту мрежу 3x380V, 50Hz преко трансформатора у спрези Dy, као

EНЕРГЕТСКИ ПРЕТВАРАЧИ 1 јануар Трофазни једнострани исправљач прикључен је на круту мрежу 3x380V, 50Hz преко трансформатора у спрези Dy, као EНЕРГЕТСКИ ПРЕТВАРАЧИ 1 јануар 017. 1. Трофазни једнострани исправљач прикључен је на круту мрежу x80, 50Hz преко трансформатора у спрези Dy, као на слици 1. У циљу компензације реактивне снаге, паралелно

Више

Republika Srbija MINISTARSTVO PROSVJETE, NAUKE I TEHNOLOŠKOG RAZVOJA ZAVOD ZA VREDNOVANJE KVALITETA OBRAZOVANJA I ODGOJA ZAVRŠNI ISPIT NA KRAJU OSNOVN

Republika Srbija MINISTARSTVO PROSVJETE, NAUKE I TEHNOLOŠKOG RAZVOJA ZAVOD ZA VREDNOVANJE KVALITETA OBRAZOVANJA I ODGOJA ZAVRŠNI ISPIT NA KRAJU OSNOVN Republika Srbija MINISTARSTVO PROSVJETE, NAUKE I TEHNOLOŠKOG RAZVOJA ZAVOD ZA VREDNOVANJE KVALITETA OBRAZOVANJA I ODGOJA ZAVRŠNI ISPIT NA KRAJU OSNOVNOG OBRAZOVANJA I ODGOJA školska 2016/2017. godina TEST

Више

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011)

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011) РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11000 Београд, Мике Аласа 14, ПП: 34, ПАК: 105 305 телефон: (011) 32-82-736, телефакс: (011) 21-81-668 На основу члана 192. став

Више

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВН

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВН Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВНОГ ОБРАЗОВАЊА И ВАСПИТАЊА школска 01/01. година ТЕСТ

Више