Увод у организацију и архитектуру рачунара 1

Величина: px
Почињати приказ од странице:

Download "Увод у организацију и архитектуру рачунара 1"

Транскрипт

1 Увод у организацију и архитектуру рачунара 2 Александар Картељ kartelj@matf.bg.ac.rs Напомена: садржај ових слајдова је преузет од проф. Саше Малкова Увод у организацију и архитектуру рачунара 2 1

2 Секвенцијалне мреже Основни појмови Увод у организацију и архитектуру рачунара 2 2

3 Појам секвенцијалне мреже Секвенцијална мрежа је скуп повезаних логичких елемената чији излаз у неком тренутку зависи од: текућег стања елемената мреже и вредности улаза у том истом временском тренутку Увод у организацију и архитектуру рачунара 2 3

4 Концепти севенцијалне мреже Текуће стање елемената се очитава путем неких излаза мреже То практично значи да из секвенцијалне мреже излазе резултати стања елемената апстрахована као променљиве стања у секвенцијалну мрежу улазе аргументи стања елемената апстрахована као променљиве стања Секвенцијална мрежа се може апстраховати као склоп: комбинаторне мреже и мреже која омогућава поновну употребу променљивих стања Увод у организацију и архитектуру рачунара 2 4

5 Концептуални дијаграм Увод у организацију и архитектуру рачунара 2 5

6 Стабилност система За систем кажемо да је стабилан ако се за непроменљив улаз добијају непроменљиво стање и непроменљив излаз Систем није стабилан ако се за непроменљив улаз добијају променљиво стање или променљив излаз Увод у организацију и архитектуру рачунара 2 6

7 Стабилност система (2) Примери стабилног и нестабилног кола: Увод у организацију и архитектуру рачунара 2 7

8 Проблем пројектовања У случају комбинаторних мрежа постоји једноставан метод пресликавања логичких функција у кола зато што је систем стабилан (нема повратне спреге као на претх. слици) зато што је детерминистички (у односу на улаз) У случају секвенцијалних мрежа проблем је далеко сложенији Пројектовање секвенцијалних мрежа нећемо изучавати! Увод у организацију и архитектуру рачунара 2 8

9 Асинхрона и синхрона кола Дигитална кола могу да функционишу у два режима: Асинхрони режим Синхрони режим Увод у организацију и архитектуру рачунара 2 9

10 Асинхрони режим рада Асинхрони режим дигитална кола функционишу независно једна од других тренутак одвијања промена у једном колу не зависи од тренутка одвијања промена у другом нису сви излази и улази исправни у истом тренутку асинхрони рад је проблематичан ако излаз једног кола мора да представља улаз за неко друго коло Увод у организацију и архитектуру рачунара 2 10

11 Синхрони режим рада Синхрони режим сва кола у систему мењају своја стања у прецизно дефинисаним тренуцима тренуци промена су одређени сигналом часовника последица је да брзина рада зависи од часовника чак и када би неке операције могле да се заврше раније, мора да се чека на часовник Увод у организацију и архитектуру рачунара 2 11

12 Часовник Часовник је сигнал који представља секвенцу наизменичних вредности 0 и 1 Уобичајено се сигнал представља као да се прелазак стања часовника са 0 на 1 (и обратно) Овај прелаз се не одвија тренутно, има неко кратко трајање Период (или тренутак) мењања стања се назива руб Прелазак са 0 на 1 се назива узлазни руб (или руб успона, позитиван руб ) (енгл. rising edge) Прелазак са 1 на 0 се назива силазни руб (или руб силаска, негативан руб ) (енгл. falling edge) Увод у организацију и архитектуру рачунара 2 12

13 Часовник (2) Увод у организацију и архитектуру рачунара 2 13

14 Трајање стања сигнала Уобичајено је да трајање сваког непроменљивог стања сигнала часовника буде једнако (тзв. симетричан часовник) Међутим, може се употребљавати и часовник код кога трајање стања 0 и 1 није једнако (тзв. асиметричан часовник) Циклус часовника је период између два узастопна узлазна (или силазна) руба Брзина часовника је број циклуса у секунди и изражава се у Херцима (Hz) на пример, ако је брзина часовника 100MHz, тада је трајање једног циклуса 10ns Увод у организацију и архитектуру рачунара 2 14

15 Типови часовника Увод у организацију и архитектуру рачунара 2 15

16 Улоге часовника Основна улога часовника је глобална сихнронизација сигнала у систему Друга улога часовника је мерење времена у облику броја циклуса Увод у организацију и архитектуру рачунара 2 16

17 Секвенцијалне мреже Основни елементи за памћење стања: резе и флип-флопови Увод у организацију и архитектуру рачунара 2 17

18 Елементарне секвенцијалне мреже Постоје две врсте елементарних секвенцијалних мрежа: реза (енгл. latch) коло које реагује на ниво сигнала, без обзира на тип промене чува 1 бит стања флип-флоп (енгл. flip-flop) коло које реагује само на промене на узлазном или силазном рубу циклуса чува 1 бит стања Подела је релативно нова, па се негде употребљава само један од ових назива (обично флип-флоп) за обе врсте елемената Увод у организацију и архитектуру рачунара 2 18

19 SR реза Назива се и SR елемент (енгл. SR latch, SR потиче од set-reset) користи се и назив RS елемент Има два улаза S и R и два излаза Q и Q Имплементира се помоћу два НИЛИ елемента: Увод у организацију и архитектуру рачунара 2 19

20 SR реза анализа понашања S=0, R=1 због R=1 мора да буде Q=0 због S=Q=0 се добија Q =1 S=1, R=0 због S=1 мора да буде Q =0 због R=Q =0 се добија Q=1 S=0, R=0 ако је претходно било Q=0, Q =1 онда ће сада бити Q =1, Q=0 ако је претходно било Q=1, Q =0 онда ће сада бити Q =0, Q=1 Увод у организацију и архитектуру рачунара 2 20

21 SR реза анализа понашања (2) Све док су оба улаза 1, оба излаза ће бити 0 Проблем је у случају промене улаза са (1,1) на (0,0) : промена улаза се никада у пракси не дешава дословно истовремено или ће бити (1, 1) (0, 1) (0, 0) или ће бити (1, 1) (1, 0) (0, 0) ако се промена одвија као: (1, 1) (0, 1) (0, 0) прва промена поставља (Q, Q ) на (0, 1) друга не мења стање ако се промена одвија као: (1, 1) (1, 0) (0, 0) прва промена поставља (Q, Q ) на (1,0) друга не мења стање Због тога што се тако добија недетерминистичко понашање, улаз (1, 1) се сматра за неисправан (!!!) Увод у организацију и архитектуру рачунара 2 21

22 SR реза Логички симбол и истинитосна таблица: Увод у организацију и архитектуру рачунара 2 22

23 Понашање SR резе Ако су оба улаза неактивна, чува стање Ако је само улаз R активан, поставља стање на 0 Ако је само улаз S активан, поставља стање на 1 Излаз SR резе се мења асинхроно у односу на улаз, у зависности од брзине употребљених НИЛИ елемената Увод у организацију и архитектуру рачунара 2 23

24 Временски дијаграм SR резе Увод у организацију и архитектуру рачунара 2 24

25 SR реза са часовником Синхронизација се остварује додавањем улазног сигнала часовника у коло тако улазни сигнали не утичу на евентуалну промену све док сигнал часовника не достигне висок ниво Увод у организацију и архитектуру рачунара 2 25

26 D реза Проблем са свим врстама SR реза је у томе што мора да се избегава пар вредности (1,1) на улазу То се може решавати применом D резе: Увод у организацију и архитектуру рачунара 2 26

27 D реза анализа понашања Све док је сигнал часовника неактиван, промене на улазу немају утицаја на резултат, тј. резултат је исти као и раније У тренутку активирања сигнала часовника (или контролног сигнала), стање улаза се пропагира на излаз Увод у организацију и архитектуру рачунара 2 27

28 D реза Логички симбол и таблица истинитосних вредности Увод у организацију и архитектуру рачунара 2 28

29 Флип-флоп кола Секвенцијална мрежа се назива флип-флоп ако се вредности улаза употребљавају само на једном рубу циклуса часовника обично на узлазном рубу Тиме се омогућава да се у осталим фазама циклуса промене улаза практично игноришу и не ремете рад кола Увод у организацију и архитектуру рачунара 2 29

30 Ограничавање на узлазни руб Улазни сигнал се може ограничити на употребу само на узлазном рубу часовника применом једноставног кола: Излаз из овог кола је активан само на почетку циклуса (на узлазном рубу) онолико дуго колико је НЕ елементу потребно да пропагира промену Увод у организацију и архитектуру рачунара 2 30

31 Ограничавање на улазни руб (2) Увод у организацију и архитектуру рачунара 2 31

32 D флип-флоп D флип-флоп се прави помоћу D резе, ограничавањем контролног сигнала на узлазни руб циклуса: Увод у организацију и архитектуру рачунара 2 32

33 Симболи за резе и флип-флопове Логички симболи за флип-флопове се разликују по нацртаном врху стрелице контролног улаза (часовника): (a) D реза осетљива на висок ниво контролног сигнала (b) D реза осетљива на низак ниво контролног сигнала (c) D флип-флоп осетљив на узлазни руб циклуса (d) D флип-флоп осетљив на силазни руб циклуса Увод у организацију и архитектуру рачунара 2 33

34 JK флип-флоп JK флип-флоп се понаша као SR флип-флоп, само што стање 11 користи за инверзију стања (toggle) Прави се помоћу две SR резе (главне и подређене) главна SR реза се активира током активног дела циклуса излаз главне SR резе се преноси на излаз током неактивног дела циклуса Због тога што се излаз прави на силазном рубу логички симбол је: Увод у организацију и архитектуру рачунара 2 34

35 JK флип-флоп (2) Увод у организацију и архитектуру рачунара 2 35

36 JK флип-флоп - понашање Током трајања активног сигнала часовника, главна SR реза производи излаз који представља или set или reset улаз за подређену SR резу излаз је стабилан зато што на њега утиче тек излаз из подређене резе, који се не мења током активног дела циклуса На силазном рубу циклуса се сигнал пропагира кроз подређену резу не остварује се одмах утицај на главну резу, зато што она допушта промене тек током позитивног дела циклуса Увод у организацију и архитектуру рачунара 2 36

37 Секвенцијалне мреже Примери неких мрежа: регистри и бројачи Увод у организацију и архитектуру рачунара 2 37

38 Примери секвенцијалних мрежа Неки од примера секвенцијалних мрежа су: регистри паралелни регистри померачки регистри бројачи Увод у организацију и архитектуру рачунара 2 38

39 Паралелни регистри Регистри су кола која се користе за чување једног или више битова података Паралелни регистар се састоји од скупа 1-битних меморијских јединица чији се садржај може истовремено читати или мењати Може се имплементирати помоћу реза или флип-флопова Увод у организацију и архитектуру рачунара 2 39

40 Паралелни регистар са SR резом (2) Увод у организацију и архитектуру рачунара 2 40

41 Паралелни регистар (3) Претходна имплементација омогућава три основне операције анулирање ако је активан контролни сигнал за анулирање уписивање ако је активан улазни контролни сигнал за уписивање уз претпоставку да је претходно извршено анулирање читање ако је активан излазни контролни сигнал Увод у организацију и архитектуру рачунара 2 41

42 Померачки регистри Померачки регистри померају низ битова улево или удесно са сваким циклусом часовника Могу да се употребљавају за конвертовање из паралелног у серијски вид комуникације и обратно Увод у организацију и архитектуру рачунара 2 42

43 Логичко померање Не постоји улазни податак Уместо улаза дописује се нула (тзв. линијско померање) или вредност избаченог бита (тзв. циклично померање) Увод у организацију и архитектуру рачунара 2 43

44 Аритметичко померање Не постоји улазни податак Уместо улаза дописује се нула, ако је померање улево највиши бит, ако је померање удесно Увод у организацију и архитектуру рачунара 2 44

45 4-битни померачки регистар са серијским улазом и излазом (SR фф) У сваком циклусу: садржај се помера за једно место удесно слева се дописује један нови бит са улаза на излазу се чита један избачен бит Увод у организацију и архитектуру рачунара 2 45

46 4-битни померачки регистар са паралелним излазом (D фф) У сваком циклусу: садржај се помера за једно место удесно слева се дописује један нови бит са улаза чита се на паралелном излазу комплетан нови садржај Увод у организацију и архитектуру рачунара 2 46

47 Бројачи Бројачи су секвенцијалне мреже које са сваким циклусом повећавају вредност регистра за 1 Веома често се употребљавају Бинарни бројач користи за бројање регистар са B битова и омогућава бројање од 0 до 2 B -1 Након прекорачења се почиње поново од нуле Назива се и бројач по модулу 2 B Бројач по модулу 10 се употребљава за рад са декадним цифрама Увод у организацију и архитектуру рачунара 2 47

48 Имплементација бројача Имплементирамо бројач по модулу 8 Низ битова се посматра као низ бинарних цифара Најнижи бит се мења у сваком циклусу Виши бит је потребно променити сваки пут када се претходни нижи бит промени из 1 у 0 Увод у организацију и архитектуру рачунара 2 48

49 Имплементација бројача (2) Подсећање: Ако се улази на JK флип-флопу поставе на 1, онда ће се излаз мењати у сваком циклусу Идеја имплементације је: на низ JK флип-флопова се доведу на улазе активни сигнали на контролни улаз првог (који одговара најнижем биту бројача) се доведе сигнал часовника на контролне улазе осталих се доведу излазни сигнали претходних Увод у организацију и архитектуру рачунара 2 49

50 Имплементација бројача (3) Увод у организацију и архитектуру рачунара 2 50

51 Понашање бројача Увод у организацију и архитектуру рачунара 2 51

52 Неке примене бројача Бројачи се могу употребљавати за мерење времена за бројање података на улазу или излазу за генерисање часовника са споријим тактом и друго Увод у организацију и архитектуру рачунара 2 52

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на след

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на след Испит из Основа рачунарске технике OO - / (...) Р е ш е њ е Задатак Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на следећој слици: S R Асинхрони RS флип флопреализован помоћу НИЛИ кола је

Више

Орт колоквијум

Орт колоквијум Испит из Основа рачунарске технике - / (6.6.. Р е ш е њ е Задатак Комбинациона мрежа има пет улаза, по два за број освојених сетова тенисера и један сигнал који одлучује ко је бољи уколико је резултат

Више

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећ

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећ Испит из Основа рачунарске технике OO - 27/2 (9.6.2.) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећој слици: S Q R Q Асинхрони RS флип флопреализован помоћу НИ

Више

LAB PRAKTIKUM OR1 _ETR_

LAB PRAKTIKUM OR1 _ETR_ UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA, TELEKOMUNIKACIJE I RAČUNARI PREDMET: OSNOVE RAČUNARSTVA 1 FOND ČASOVA: 2+1+1 LABORATORIJSKA VJEŽBA BROJ 1 NAZIV: REALIZACIJA

Више

Орт колоквијум

Орт колоквијум II колоквијум из Основа рачунарске технике I - 27/28 (.6.28.) Р е ш е њ е Задатак На улазе x, x 2, x 3, x 4 комбинационе мреже, са излазом z, долази четворобитни BCD број. Ако број са улаза при дељењу

Више

ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera

ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera CILJ VEŽBE Cilj ove vežbe je da se studenti kreiranjem

Више

ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати и

ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати и ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати истинитоносне таблице изведених логичких везника (НИ,

Више

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x I колоквијум из Основа рачунарске технике I СИ- / (...) Р е ш е њ е Задатак Тачка А Потребно је прво пронаћи вредности функција f(x, x, x ) и g(x, x, x ) на свим векторима. f(x, x, x ) = x x + x x + x

Више

Nastavna cjelina: 1. Jezik računala Kataloška tema: 1.1. Bit 1.2. Brojevi zapisani četvorkom bitova Nastavna jedinica: 1.1. Bit   1.2. Brojevi zapisan

Nastavna cjelina: 1. Jezik računala Kataloška tema: 1.1. Bit 1.2. Brojevi zapisani četvorkom bitova Nastavna jedinica: 1.1. Bit   1.2. Brojevi zapisan Nastavna cjelina: 1. Osnove IKT-a Kataloška tema: 1.6. Paralelni i slijedni ulazno-izlazni pristupi računala 1.7. Svojstva računala Unutar računala podatci su prikazani električnim digitalnim signalima

Више

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode]

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode] ij Cilj: Dobiti što više informacija o ponašanju digitalnih kola za što kraće vreme. Metod: - Detaljni talasni oblik signala prikazati samo na nivou logičkih stanja. - Simulirati ponašanje kola samo u

Више

Logicko projektovanje racunarskih sistema I

Logicko projektovanje racunarskih sistema I POKAZNA VEŽBA 10 Strukture za računanje Potrebno predznanje Urađena pokazna vežba 8 Poznavanje aritmetičkih digitalnih sistema i aritmetičko-logičkih jedinica Osnovno znanje upravljačkih jedinica digitalnih

Више

Slide 1

Slide 1 Катедра за управљање системима ТЕОРИЈА СИСТЕМА Предавањe 2: Основни појмови - систем, модел система, улаз и излаз UNIVERSITY OF BELGRADE FACULTY OF ORGANIZATIONAL SCIENCES План предавања 2018/2019. 1.

Више

Microsoft PowerPoint - Bitovi [Compatibility Mode]

Microsoft PowerPoint - Bitovi [Compatibility Mode] Оператори над битовима (Јаничић, Марић: Програмирање 2, тачка 5.6) Оператори за рад са појединачним битовима Само на целобројне аргументе: ~ битовска негација & битовска конјункција (и) битовска (инклузивна)

Више

Projektovanje digitalnih sistema

Projektovanje digitalnih sistema Projektovanje digitalnih sistema Metodologije dizajna Metodologije dizajna Odozgo ka dolje (top-down) Definiše se blok najvišeg nivoa i identifikuju se manji blokovi neophodni za njegovu implementaciju

Више

Algoritmi i arhitekture DSP I

Algoritmi i arhitekture DSP I Univerzitet u Novom Sadu Fakultet Tehničkih Nauka Katedra za računarsku tehniku i međuračunarske komunikacije Algoritmi i arhitekture DSP I INTERNA ORGANIACIJA DIGITALNOG PROCESORA A OBRADU SIGNALA INTERNA

Више

Logičke izjave i logičke funkcije

Logičke izjave i logičke funkcije Logičke izjave i logičke funkcije Građa računala, prijenos podataka u računalu Što su logičke izjave? Logička izjava je tvrdnja koja može biti istinita (True) ili lažna (False). Ako je u logičkoj izjavi

Више

Dijagrami sekvenci

Dijagrami sekvenci Dijagrami sekvenci Izrada dijagrama sekvenci Dijagram sekvenci koristi se za specifikaciju vremenskih zahteva u opisu složenih scenarija opis toka poruka između objekata kojima se realizuje odgovarajuća

Више

1

1 Podsetnik: Statističke relacije Matematičko očekivanje (srednja vrednost): E X x p x p x p - Diskretna sl promenljiva 1 1 k k xf ( x) dx E X - Kontinualna sl promenljiva Varijansa: Var X X E X E X 1 N

Више

P11.3 Analiza zivotnog veka, Graf smetnji

P11.3 Analiza zivotnog veka, Graf smetnji Поједностављени поглед на задњи део компајлера Међурепрезентација (Међујезик IR) Избор инструкција Додела ресурса Распоређивање инструкција Инструкције циљне архитектуре 1 Поједностављени поглед на задњи

Више

Microsoft Word - SIORT1_2019_K1_resenje.docx

Microsoft Word - SIORT1_2019_K1_resenje.docx I колоквијум из Основа рачунарске технике I СИ- 208/209 (24.03.209.) Р е ш е њ е Задатак f(x, x 2, x 3 ) = (x + x x ) x (x x 2 + x ) + x x 2 x 3 f(x, x 2, x 3 ) = (x + x x ) (x x + (x )) 2 + x + x x 2

Више

Microsoft PowerPoint - 1.DE.RI3g.09.Uvod

Microsoft PowerPoint - 1.DE.RI3g.09.Uvod Дејан Јокић Миломир Шоја Предмет: ДИГИТАЛНА ЕЛЕКТРОНИКА Број кредита: 6 Седмично часова: 2+2+12+1 (П+АВ+ЛВ) Укупно часова: 30+45 Пун назив ДИГИТАЛНА ЕЛЕКТРОНИКА Скраћени назив Статус Семестар ЕСПБ Фонд

Више

Inženjering informacionih sistema

Inženjering informacionih sistema Fakultet tehničkih nauka, Novi Sad Inženjering informacionih sistema Dr Ivan Luković Dr Slavica Kordić Nikola Obrenović Milanka Bjelica Dr Jelena Borocki Dr Milan Delić UML UML (Unified Modeling Language)

Више

Рачунарска интелигенција

Рачунарска интелигенција Рачунарска интелигенција Генетско програмирање Александар Картељ kartelj@matf.bg.ac.rs Ови слајдови представљају прилагођење слајдова: A.E. Eiben, J.E. Smith, Introduction to Evolutionary computing: Genetic

Више

Microsoft PowerPoint - 12 PAIK Planiranje rasporeda modula (2016) [Compatibility Mode]

Microsoft PowerPoint - 12 PAIK Planiranje rasporeda modula  (2016) [Compatibility Mode] Integrisana kola sa mešovitim signalima Projektovanje analognih integrisanih kola Prof. Dr Predrag Petković, Dejan Mirković Katedra za elektroniku Elektronski fakultet Niš Sadržaj: I. Uvod II. Lejaut analognih

Више

Microsoft Word - Tok casa Elektronski elementi Simeunovic Bosko

Microsoft Word - Tok casa Elektronski elementi Simeunovic Bosko ПРИПРЕМА ЗА ИЗВОЂЕЊЕ НАСТАВЕ Наставник: Симеуновић Бошко, ОШ Татомир Анђелић Мрчајевци Предмет: Техничко и информатичко образовање Наставна тема: ДИГИТАЛНА ЕЛЕКТРОНИКА Наставна јединица: ОСНОВНИ ЕЛЕКТРОНСКИ

Више

Рјешавање проблема потрошње у чиповима Александар Пајкановић Факултет техничких наука Универзитет у Новом Саду Фабрика чипова у Србији: има ли интерес

Рјешавање проблема потрошње у чиповима Александар Пајкановић Факултет техничких наука Универзитет у Новом Саду Фабрика чипова у Србији: има ли интерес Рјешавање проблема потрошње у чиповима Александар Пајкановић Факултет техничких наука Универзитет у Новом Саду Фабрика чипова у Србији: има ли интереса и кадрова? Петница, 28.-29. јун 2013. Садржај Увод

Више

PowerPoint Presentation

PowerPoint Presentation Prof. dr Pere Tumbas Prof. dr Predrag Matkovid Identifikacija i izbor projekata Održavanje sistema Inicijalizacija projekata i planiranje Implementacija sistema Dizajn sistema Analiza sistema Faze životnog

Више

oae_10_dom

oae_10_dom ETF U BEOGRADU, ODSEK ZA ELEKTRONIKU Milan Prokin Radivoje Đurić domaći zadaci - 2010 1. Domaći zadatak 1.1. a) [4] Nacrtati direktno spregnut pojačavač (bez upotrebe sprežnih kondenzatora) sa NPN tranzistorima

Више

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i za generisanje željenih izlaznih signala (slika 1).

Више

Zbirka resenih zadataka iz arhitekture racunara

Zbirka resenih zadataka iz arhitekture racunara Ј. ЂОРЂЕВИЋ, З. РАДИВОЈЕВИЋ, М. ПУНТ, Б. НИКОЛИЋ, Д. МИЛИЋЕВ, Ј. ПРОТИЋ, А. МИЛЕНКОВИЋ АРХИТЕКТУРА И ОРГАНИЗАЦИЈА РАЧУНАРА ПРЕКИДИ, МАГИСТРАЛА И УЛАЗ/ИЗЛАЗ ЗБИРКА РЕШЕНИХ ЗАДАТАКА Београд 2013. i САДРЖАЈ

Више

UNIVERZITET UKSHIN HOTI PRIZREN FAKULTET RAČUNARSKIH NAUKA PROGRAM: TIT - BOS NASTAVNI PLAN-PROGRAM SYLLABUS Nivo studija Bachelor Program TIT-Bos Aka

UNIVERZITET UKSHIN HOTI PRIZREN FAKULTET RAČUNARSKIH NAUKA PROGRAM: TIT - BOS NASTAVNI PLAN-PROGRAM SYLLABUS Nivo studija Bachelor Program TIT-Bos Aka UNIVERZITET UKSHIN HOTI PRIZREN FAKULTET RAČUNARSKIH NAUKA PROGRAM: TIT - BOS NASTAVNI PLAN-PROGRAM SYLLABUS Nivo studija Bachelor Program TIT-Bos Akademska godina 018/019 PREDMET Godina studija I Status

Више

Microsoft PowerPoint - Timer0 16F887.ppt [Compatibility Mode]

Microsoft PowerPoint - Timer0 16F887.ppt [Compatibility Mode] TAJMERI I BROJAČI: PIC16F887 PIC 16F887 mikrokontroler ima tri tajmera/brojača: 8 - bitni tajmer/brojač (registar TMR0) 16 - bitni tajmer/brojač (registar TMR1H TMR1L) 8 - bitni tajmer/brojač (registar

Више

1198. Agencija za elektronske komunikacije i poštansku djelatnost, na osnovu člana 11 stav 4 i člana 98 Zakona o elektronskim komunikacijama (''Sl. li

1198. Agencija za elektronske komunikacije i poštansku djelatnost, na osnovu člana 11 stav 4 i člana 98 Zakona o elektronskim komunikacijama (''Sl. li 1198. Agencija za elektronske komunikacije i poštansku djelatnost, na osnovu člana 11 stav 4 i člana 98 Zakona o elektronskim komunikacijama (''Sl. list Crne Gore'', broj 40/13) i Plana namjene radio-frekvencijskog

Више

Microsoft Word - KVADRATNA FUNKCIJA.doc

Microsoft Word - KVADRATNA FUNKCIJA.doc KVADRATNA FUNKCIJA Kvadratna funkcija je oblika: = a + b+ c Gde je R, a i a, b i c su realni brojevi. Kriva u ravni koja predstavlja grafik funkcije = a + b+ c je parabola. Najpre ćemo naučiti kako izgleda

Више

УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке Писмени део испита из предмета Увод у рачунарство 1. [7 пое

УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке Писмени део испита из предмета Увод у рачунарство 1. [7 пое УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке 30.06.2018. Писмени део испита из предмета Увод у рачунарство 1. [7 поена] Методом МакКласкија минимизарити систем прекидачких

Више

POSLOVNI INFORMACIONI SISTEMI I RA^UNARSKE

POSLOVNI INFORMACIONI SISTEMI  I RA^UNARSKE ZNAČAJ RAČUNARSKIH KOMUNIKACIJA U BANKARSKOM POSLOVANJU RAČUNARSKE MREŽE Računarske mreže su nastale kombinacijom računara i telekomunikacija dve tehnologije sa veoma različitom tradicijom i istorijom.

Више

ELEKTRONIKA

ELEKTRONIKA МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА РЕПУБЛИКЕ СРБИЈЕ ЗАЈЕДНИЦА ЕЛЕКТРОТЕХНИЧКИХ ШКОЛА РЕПУБЛИКЕ СРБИЈЕ ДВАДЕСЕТ ДРУГО РЕГИОНАЛНО ТАКМИЧЕЊЕ ЗАДАЦИ ИЗ ЕЛЕКТРОНИКЕ ЗА УЧЕНИКЕ ТРЕЋЕГ РАЗРЕДА

Више

ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ

ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ Универзитет у Београду, Електротехнички факултет, Катедра за енергетске претвараче и погоне ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ (3Е3ЕНТ) Јул 9. Трофазни уљни енергетски трансформатор са номиналним подацима: 4 V,

Више

zad_6_2.doc

zad_6_2.doc .. S- i S- komunikacioni standardi Zadatak. Pomoću MX i čipa, potrebno je realizovati konvertor S- na S-. MX ima raspored pinova kao na slici..,0μf +V +V ULZ V CC T IN T IN OUT IN T OUT 0 9 OUT IN T OUT

Више

VIK-01 opis

VIK-01 opis Višenamensko interfejsno kolo VIK-01 Višenamensko interfejsno kolo VIK-01 (slika 1) služi za povezivanje različitih senzora: otpornog senzora temperature, mernih traka u mostnoj vezi, termopara i dr. Pored

Више

Microsoft PowerPoint - MODELOVANJE-predavanje 9.ppt [Compatibility Mode]

Microsoft PowerPoint - MODELOVANJE-predavanje 9.ppt [Compatibility Mode] MODELONJE I SIMULIJ PROES 9. Rešavanje dinamičkih modela; osnovni pojmovi upravljanja procesima http://elektron.tmf.bg.ac.rs/mod Dr Nikola Nikačević METODE Z REŠNJE LINERNIH DINMIČKIH MODEL 1. remenski

Више

Microsoft PowerPoint - DAC.ppt [Compatibility Mode]

Microsoft PowerPoint - DAC.ppt [Compatibility Mode] Analogne i digitalne velicine Analogne veličine su kontinualne po vremenu i amplitudi. Digitalne veličine se predstavljaju nizom brojeva. Svaki broj predstavlja jedan odbirak u vremenu. Odbirak ima konačnu

Више

Р273 Пројектовање база података Примери питања за колоквијум 1. Навести најважније моделе података кроз историју рачунарства до данас. 2. Објаснити ос

Р273 Пројектовање база података Примери питања за колоквијум 1. Навести најважније моделе података кроз историју рачунарства до данас. 2. Објаснити ос Р273 Пројектовање база података Примери питања за колоквијум 1. Навести најважније моделе података кроз историју рачунарства до данас. 2. Објаснити основне концепте мрежног модела 3. Објаснити основне

Више

Microsoft Word - 11 Pokazivaci

Microsoft Word - 11 Pokazivaci Pokazivači U dosadašnjem radu smo imali prilike da koristimo promenljive koje smo deklarisali na početku nekog bloka. Prilikom deklaracije promenljiva dobija jedinstveni naziv i odgovarajući prostor u

Више

Повезивање са интернетом

Повезивање са интернетом Драгана Стопић Сваки рачунар на интернету има своју адресу која је јединствена у свету. Ове адресе се називају IP адресе јер их користи IP протокол (интернет ниво) из фамилије TCP/IP. IP адресе представљају

Више

NACRT

NACRT BOSNA I HERCEGOVINA БОСНА И ХЕРЦЕГОВИНА Brčko distrikt BiH Брчко дистрикт БиХ SKUPŠTINA СКУПШТИНА BRČKO DISTRIKTA BiH БРЧКО ДИСТРИКТА БиХ Mladena Maglova 2, 76100 Brčko distrikt BiH, telefon i faks: 049/215-516

Више

Projektovanje informacionih sistema i baze podataka

Projektovanje informacionih sistema i baze podataka Realni sistem i informacioni sistem Ulaz Realni sistem Izlaz Unos Baza podataka Izveštaji Realni sistem i informacioni sistem Sistem se definiše kao skup objekata (entiteta) i njihovih međusobnih veza

Више

М А Т Е М А Т И К А Први разред (180) Предмети у простору и односи међу њима (10; 4 + 6) Линија и област (14; 5 + 9) Класификација предмета према свој

М А Т Е М А Т И К А Први разред (180) Предмети у простору и односи међу њима (10; 4 + 6) Линија и област (14; 5 + 9) Класификација предмета према свој М А Т Е М А Т И К А Први разред (180) Предмети у простору и односи међу њима (10; 4 + 6) Линија и област (14; 5 + 9) Класификација предмета према својствима (6; 2 + 4) Природни бројеви до 100 (144; 57

Више

?? ????????? ?????????? ?????? ?? ????????? ??????? ???????? ?? ??????? ??????:

?? ????????? ?????????? ?????? ?? ????????? ??????? ???????? ?? ??????? ??????: РЈЕШЕЊА ЗАДАТАКА СА ТАКМИЧЕЊА ИЗ ЕЛЕКТРИЧНИХ МАШИНА Електријада 003 АСИНХРОНЕ МАШИНЕ Трофазни асинхрони мотор са намотаним ротором има податке: 380V 10A cos ϕ 08 Y 50Hz p отпор статора R s Ω Мотор је испитан

Више

Tutoring System for Distance Learning of Java Programming Language

Tutoring System for Distance Learning of Java Programming Language Deklaracija promenljivih Inicijalizacija promenljivih Deklaracija promenljive obuhvata: dodelu simboličkog imena promenljivoj i određivanje tipa promenljive (tip određuje koja će vrsta memorijskog registra

Више

P9.1 Dodela resursa, Bojenje grafa

P9.1 Dodela resursa, Bojenje grafa Фаза доделе ресурса Ова фаза се у литератури назива и фазом доделе регистара, при чему се под регистрима подразумева скуп ресурса истог типа. Додела регистара променљивама из графа сметњи се обавља тзв.

Више

_sheets.dvi

_sheets.dvi Zavod za elektroniku, mikroelektroniku, 28. studenog 2008. računalne i inteligentne sustave 2. me duispit iz Arhitekture računala 2, teorijski dio 1. Koja komponenta modernih računala nije bila prisutnau

Више

SRV_1_Problematika_real_time_sistema

SRV_1_Problematika_real_time_sistema SISTEMI REALNOG VREMENA Vanr.prof. Dr. Lejla Banjanović-Mehmedović www.lejla-bm.com.ba Mehmedović 1 Sadržaj predmeta 1. Problematika real-time sistema. Klasifikacije sistema u realnom vremenu. 2. Aplikacije

Више

I grupa 1. Napisati program koji izračunava i ispisuje zbir 4 najveća od pet brojeva unetih sa standardnog ulaza. ulaz izlaz Analiza: 1.

I grupa 1. Napisati program koji izračunava i ispisuje zbir 4 najveća od pet brojeva unetih sa standardnog ulaza. ulaz izlaz Analiza: 1. I grupa 1. Napisati program koji izračunava i ispisuje zbir 4 najveća od pet brojeva unetih sa standardnog ulaza. ulaz izlaz 3 2 1 4 5 14 Analiza: 1. Odredimo zbir svih 5 unesenih brojeva (i sačuvamo u

Више

PROMENLJIVE, TIPOVI PROMENLJIVIH

PROMENLJIVE, TIPOVI PROMENLJIVIH PROMENLJIVE, TIPOVI PROMENLJIVIH Šta je promenljiva? To je objekat jezika koji ima ime i kome se mogu dodeljivati vrednosti. Svakoj promenljivoj se dodeljuje registar (memorijska lokacija) operativne memorije

Више

Microsoft PowerPoint - DS-1-16 [Compatibility Mode]

Microsoft PowerPoint - DS-1-16 [Compatibility Mode] Ekonometrija 1-D Analiza vremenskih serija Predavač: Zorica Mladenović, zorima@eunet.rs, http://avs.ekof.bg.ac.rs kabinet: 414 1 Struktura predmeta Izučavaju se dve oblasti: Analiza vremenskih serija Analiza

Више

Microsoft PowerPoint - Programski_Jezik_C_Organizacija_Izvornog_Programa_I_Greske [Compatibility Mode]

Microsoft PowerPoint - Programski_Jezik_C_Organizacija_Izvornog_Programa_I_Greske [Compatibility Mode] Programski jezik C organizacija izvornog programa Prevođenje Pisanje programa izvorni program Prevođenje programa izvršni program Izvršavanje programa rezultat Faze prevođenja Pretprocesiranje Kompilacija

Више

Microsoft PowerPoint - OOPpredavanja05 [Compatibility Mode]

Microsoft PowerPoint - OOPpredavanja05 [Compatibility Mode] OBJEKTNO ORIJENTISANO PROGRAMIRANJE PREDAVANJE 5 OBJEKTI U INTERAKCIJI Miloš Kovačević Đorđe Nedeljković 1 /25 OSNOVNI KONCEPTI - Abstrakcija - Modularizacija - Objektne reference - Klasni dijagram - Objektni

Више

Microsoft PowerPoint - Predavanje3.ppt

Microsoft PowerPoint - Predavanje3.ppt Фрактална геометрија и фрактали у архитектури функционални системи Улаз Низ правила (функција F) Излаз Фрактална геометрија и фрактали у архитектури функционални системи Функционални систем: Улаз Низ правила

Више

Univerzitet u Beogradu Elektrotehnički fakultet Katedra za energetske pretvarače i pogone ISPIT IZ SINHRONIH MAŠINA (13E013SIM) 1. Poznati su podaci o

Univerzitet u Beogradu Elektrotehnički fakultet Katedra za energetske pretvarače i pogone ISPIT IZ SINHRONIH MAŠINA (13E013SIM) 1. Poznati su podaci o Univerzitet u Beogradu Elektrotehnički akultet Katedra za energetske pretvarače i pogone ISPIT IZ SINHRONIH MAŠINA (13E013SIM) 1. Poznati su podaci o namotaju statora sinhronog motora sa stalnim magnetima

Више

Konverzije, operatori, matematičke funkcije predavač: Nadežda Jakšić

Konverzije, operatori, matematičke funkcije predavač: Nadežda Jakšić Konverzije, operatori, matematičke funkcije predavač: Nadežda Jakšić 1. temperatura u stepenima Celzijusa i stepene Farenhajta tf=1.8*tc+32 2. pretvoriti inče u centimetre 1 inč=2.54cm 3. vreme učitano

Више

Veeeeeliki brojevi

Veeeeeliki brojevi Matematička gimnazija Nedelja informatike 3 12. decembar 2016. Uvod Postoji 10 tipova ljudi na svetu, oni koji razumeju binarni sistem, oni koji ne razumeju binarni sistem i oni koji nisu očekivali šalu

Више

Elektrotehnički fakultet Univerziteta u Beogradu Relejna zaštita laboratorijske vežbe Vežba 3: ISPITIVANJE ELEKTRONSKOG FREKVENCIJSKOG RELEJA RFN-30 U

Elektrotehnički fakultet Univerziteta u Beogradu Relejna zaštita laboratorijske vežbe Vežba 3: ISPITIVANJE ELEKTRONSKOG FREKVENCIJSKOG RELEJA RFN-30 U Vežba 3: ISPITIVANJE ELEKTRONSKOG FREKVENCIJSKOG RELEJA RFN-30 U vežbi se ispituje statički frekvencijski petostepeni relej RFN-30. Šema za njegovo ispitivanje i veza opreme prikazani su na Sl. 3.1.a i

Више

Drugi kolokvij iz predmeta Operacijski sustavi 2. srpnja Napomene: PISATI ČITKO! Zadatke 7-10 rješavati na ovom papiru ili uz njih napisati "na

Drugi kolokvij iz predmeta Operacijski sustavi 2. srpnja Napomene: PISATI ČITKO! Zadatke 7-10 rješavati na ovom papiru ili uz njih napisati na Drugi kolokvij iz predmeta Operacijski sustavi 2. srpnja 2019. Napomene: PISATI ČITKO! Zadatke 7-10 rješavati na ovom papiru ili uz njih napisati "na papirima". 1. (2) Opisati pristupni sklop za izravni

Више

Microsoft PowerPoint - 03-Slozenost [Compatibility Mode]

Microsoft PowerPoint - 03-Slozenost [Compatibility Mode] Сложеност алгоритама (Програмирање 2, глава 3, глава 4-4.3) Проблем: класа задатака истог типа Велики број различитих (коректних) алгоритама Величина (димензија) проблема нпр. количина података које треба

Више

Postavka 2: Osnovni graf algoritmi 1 DISTRIBUIRANI ALGORITMI I SISTEMI Iz kursa CSCE 668 Proleće 2014 Autor izvorne prezentacije: Prof. Jennifer Welch

Postavka 2: Osnovni graf algoritmi 1 DISTRIBUIRANI ALGORITMI I SISTEMI Iz kursa CSCE 668 Proleće 2014 Autor izvorne prezentacije: Prof. Jennifer Welch Postavka 2: Osnovni graf algoritmi 1 DISTRIBUIRANI ALGORITMI I SISTEMI Iz kursa CSCE 668 Proleće 2014 Autor izvorne prezentacije: Prof. Jennifer Welch A1 Slanje svima preko fiksiranog razapinjućeg stabla

Више

Microsoft Word - ASIMPTOTE FUNKCIJA.doc

Microsoft Word - ASIMPTOTE FUNKCIJA.doc ASIMPTOTE FUNKCIJA Naš savet je da najpre dobro proučite granične vrednosti funkcija Neki profesori vole da asimptote funkcija ispituju kao ponašanje funkcije na krajevima oblasti definisanosti, pa kako

Више

Microsoft Word - CAD sistemi

Microsoft Word - CAD sistemi U opštem slučaju, se mogu podeliti na 2D i 3D. 2D Prvo pojavljivanje 2D CAD sistema se dogodilo pre više od 30 godina. Do tada su inženjeri koristili table za crtanje (kulman), a zajednički jezik komuniciranja

Више

Microsoft PowerPoint - 07-DinamickeStrukturePodataka

Microsoft PowerPoint - 07-DinamickeStrukturePodataka Динамичке структуре података листа, стек, ред Програмирање 2: глава 6 Динамичке структуре података Динамичка алокација и динамичке структуре података Најзначајније динамичке структуре података листе и

Више

Microsoft Word - Plan raspodjele radio-frekvencija iz opsega MHz_predlog.docx

Microsoft Word - Plan raspodjele radio-frekvencija iz opsega MHz_predlog.docx CRNA GORA AGENCIJA ZA ELEKTRONSKE KOMUNIKACIJE I POŠTANSKU DJELATNOST Na osnovu člana 8, 9 i 19, a u vezi člana 64 Zakona o elektronskim komunikacijama (''Sl. list Crne Gore'', br. 50/08, 53/09-14 čl.

Више

AR2019

AR2019 ARHITEKTURA RAČUNARA (pregled principa i evolucije) Miroslav Hajduković Žarko Živanov NOVI SAD, 2019. PREDGOVOR Cilj ove knjige je da stvori funkcionalno zaokruženu sliku o radu računara. Zbog toga je

Више

Техничко решење: Софтвер за симулацију стохастичког ортогоналног мерила сигнала, његовог интеграла и диференцијала Руководилац пројекта: Владимир Вуји

Техничко решење: Софтвер за симулацију стохастичког ортогоналног мерила сигнала, његовог интеграла и диференцијала Руководилац пројекта: Владимир Вуји Техничко решење: Софтвер за симулацију стохастичког ортогоналног мерила сигнала, његовог интеграла и диференцијала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аутори: Велибор

Више

Microsoft PowerPoint - 12a PEK EMT VHDL 1 od 4 - Uvod (2011).ppt [Compatibility Mode]

Microsoft PowerPoint - 12a PEK EMT VHDL 1 od 4 - Uvod (2011).ppt [Compatibility Mode] VHDL jezik za opis hardvera VHDL jezik za opis hardvera VHDL jezik za opis hardvera Prof. Dr Predrag Petković Dr Miljana Milić Sadržaj 1. Šta je VHDL? 2. Opis hardvera 3. Signali 4. Osnove VHDL pravopisa

Више

Р220 - Увод у архитектуру рачунара Саша Малков [Р220] Увод у архитектуру рачунара 10 Саша Малков Универзитет у Београду Математички факултет 2013/2014

Р220 - Увод у архитектуру рачунара Саша Малков [Р220] Увод у архитектуру рачунара 10 Саша Малков Универзитет у Београду Математички факултет 2013/2014 [Р220] Увод у архитектуру рачунара 10 Универзитет у Београду Математички факултет 2013/2014 [Р271] Увод у архитектуру рачунара Тема 11 Улазно / излазни уређаји (наставак) [Р220] Увод у архитектуру рачунара

Више

Edukacioni racunarski sistem

Edukacioni racunarski sistem ТРАНСЛАЦИЈА ИНСТРУКЦИЈА 1. Разматра се рачунарски систем у коме се извршавање одређене инструкције одвија у 6 фаза помоћу измењеног процесора са стандардном проточном обрадом (слика 1.). У процесор са

Више

Prikaz znakova u računalu

Prikaz znakova u računalu PRIKAZ ZNAKOVA U RAČUNALU Načini kodiranja ASCII 1 znak 7 bitova Prošireni ASCII 1 znak 8 bitova (1B) UNICODE 1 znak 16 bitova (2B) ZADATCI S MATURE ljetni rok, 2014., zadatak 11 Koliko se različitih znakova

Више

Microsoft Word - oae-09-dom.doc

Microsoft Word - oae-09-dom.doc ETF U BEOGRADU, ODSEK ZA ELEKTRONIKU Milan Prokin Radivoje Đurić Osnovi analogne elektronike domaći zadaci - 2009 Osnovi analogne elektronike 3 1. Domaći zadatak 1.1. a) [5] Nacrtati direktno spregnut

Више

Slide 1

Slide 1 Osnove industrijskog inženjerstva Proučavanje međuzavisnosti zahvata Sinhronizacija resursa Dragoslav Slović Proučavanje međuzavisnosti zahvata Transportne staze M-S UM IM M RS ulaz - izlaz radnika IM

Више

KATALOG ZNANJA IZ INFORMATIKE

KATALOG ZNANJA IZ INFORMATIKE KATALOG ZNANJA IZ INFORMATIKE Nacionalni savjet za obrazovanje je na 27. sjednici održanoj 17. marta 2014. godine utvrdio izmjene predmetnoga programa INFORMATIKA za I razred gimnazije. Na zahtijev Pedagoško-psihološke

Више

Microsoft PowerPoint - avs12-17 [Compatibility Mode]

Microsoft PowerPoint - avs12-17 [Compatibility Mode] Osobenosti ekonomskih vremenskih serija Zorica Mladenović 1 Ključna svojstva ekonomskih vremenskih serija Postojanje trenda Postojanje sezonskih varijacija Postojanje nestandardnih opservacija: strukturni

Више

УНИВЕРЗИТЕТ У БЕОГРАДУ МАШИНСКИ ФАКУЛТЕТ Предмет: КОМПЈУТЕРСКА СИМУЛАЦИЈА И ВЕШТАЧКА ИНТЕЛИГЕНЦИЈА Задатак број: Лист/листова: 1/1 Задатак 5.1 Pостоје

УНИВЕРЗИТЕТ У БЕОГРАДУ МАШИНСКИ ФАКУЛТЕТ Предмет: КОМПЈУТЕРСКА СИМУЛАЦИЈА И ВЕШТАЧКА ИНТЕЛИГЕНЦИЈА Задатак број: Лист/листова: 1/1 Задатак 5.1 Pостоје Лист/листова: 1/1 Задатак 5.1 Pостоје софтвери за препознавање бар кодова који знатно олакшавају велики број операција које захтевају препознавање објеката. Слика 1: Приказ свих слова за које је ART-1

Више

Microsoft PowerPoint - Topic04-Serbian.ppt

Microsoft PowerPoint - Topic04-Serbian.ppt Tema 4 Osnovni koncepti za opis razvoja softvera DAAD Project Joint Course on Software Engineering Humboldt University Berlin, University of Novi Sad, University of Plovdiv, University of Skopje, University

Више

PowerPoint Presentation

PowerPoint Presentation Java konkurentno programiranje Životni ciklus niti i problemi sinhronizacije resursa Multitasking Multithreading Životni ciklus niti http://www.roseindia.net/java/thread/lifecycle-of-threads.shtml Životni

Више

Орт колоквијум

Орт колоквијум I колоквијум из Основа рачунарске технике I - надокнада СИ - 008/009 (10.05.009.) Р е ш е њ е Задатак 1 a) Пошто постоје вектори на којима се функција f не јавља и вектори на којима има вредност један,

Више

Funkcije predavač: Nadežda Jakšić

Funkcije predavač: Nadežda Jakšić Funkcije predavač: Nadežda Jakšić funkcije delovi programa koji izvršavaju neki zadatak, celinu; dele na ugrađene, korisničke i main funkciju ugrađene funkcije printf,scanf... da bi se one izvršile potrebno

Више

Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић

Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аутори: Драган Пејић, Бојан Вујичић, Небојша Пјевалица,

Више

Pojačavači

Pojačavači Programiranje u fizici Prirodno-matematički fakultet u Nišu Departman za fiziku dr Dejan S. Aleksić Programiranje u fizici dr Dejan S. Aleksić, vanredni profesor Kabinet 307 (treći sprat), lab. za elektroniku

Више

Републички педагошки завод Бања Лука Стручни савјетник за машинску групу предмета и практичну наставу Датум: године Тема: Елементи и начин

Републички педагошки завод Бања Лука Стручни савјетник за машинску групу предмета и практичну наставу Датум: године Тема: Елементи и начин Републички педагошки завод Бања Лука Стручни савјетник за машинску групу предмета и практичну наставу Датум:.06.2009. године Тема: Елементи и начин вредновања графичког рада из раванских носачи 1 Увод:

Више

PowerPoint Presentation

PowerPoint Presentation РЕДЕФИНИЦИЈА АМПЕРА Агенда међународне активности 2017-2019 o 20. 10. 2017. - 106. састанак CIPM - усвојена резолуција која препоручује редефиниције основних мерних јединица SI (килограма, ампера, келвина

Више

Техничко решење: Метода мерења реактивне снаге у сложенопериодичном режиму Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аут

Техничко решење: Метода мерења реактивне снаге у сложенопериодичном режиму Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аут Техничко решење: Метода мерења реактивне снаге у сложенопериодичном режиму Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аутори: Иван Жупунски, Небојша Пјевалица, Марјан Урекар,

Више

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011)

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011) РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11000 Београд, Мике Аласа 14, ПП: 34, ПАК: 105 305 телефон: (011) 32-82-736, телефакс: (011) 21-81-668 На основу члана 192. став

Више

Programski jezik QBasic Kriteriji ocjenjivanja programiranje(b) - QBasic razred 42

Programski jezik QBasic Kriteriji ocjenjivanja programiranje(b) - QBasic razred 42 Kriteriji ocjenjivanja programiranje(b) - QBasic 5. - 8. razred 42 5. RAZRED - prisjeća sa pojmova: algoritam, algoritma slijeda i grananja, dijagrama toka, te ulaznih i izlaznih jedinica, ne shvaća njihovo

Више

Programski jezik QBasic Kriteriji ocjenjivanja programiranje(b) - QBasic razred 42

Programski jezik QBasic Kriteriji ocjenjivanja programiranje(b) - QBasic razred 42 Kriteriji ocjenjivanja programiranje(b) - QBasic 5. - 8. razred 42 5. RAZRED - prisjeća sa pojmova: algoritam, algoritma slijeda i grananja, dijagrama toka, te ulaznih i izlaznih jedinica, ne shvaća njihovo

Више

6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe

6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe 6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe, očekuje se da su koordinate celobrojne. U slučaju

Више

F-6-14

F-6-14 РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ЕКОНОМИЈЕ И РЕГИОНАЛНИХ ОДНОСА ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11 000 Београд, Мике Аласа 14, поштански преградак 34, ПАК 105305 телефон: (011) 3282-736, телефакс: (011)

Више

Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР Школска 2018 /2019. Назив предмета: Информатика и рачунарство Разред: 5. Недељни број часова

Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР Школска 2018 /2019. Назив предмета: Информатика и рачунарство Разред: 5. Недељни број часова Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР јединице 1. 1. Увод у информатику и рачунарство 1. 2. Oрганизација података на рачунару 1. 3. Рад са текстуалним документима 1. 4. Форматирање

Више

OPIS RAČUNARSKOG SISTEMA Računarski sistem se sastoji od procesora, operativne memorije, tajmera i terminala. Sve komponente računarskog sistema su me

OPIS RAČUNARSKOG SISTEMA Računarski sistem se sastoji od procesora, operativne memorije, tajmera i terminala. Sve komponente računarskog sistema su me OPIS RAČUNARSKOG SISTEMA Računarski sistem se sastoji od procesora, operativne memorije, tajmera i terminala. Sve komponente računarskog sistema su međusobno povezane preko sistemske magistrale. Tajmer

Више

Teorija skupova - blog.sake.ba

Teorija skupova - blog.sake.ba Uvod Matematika je jedan od najomraženijih predmeta kod većine učenika S pravom, dakako! Zapitajmo se šta je uzrok tome? Da li je matematika zaista toliko teška, komplikovana? Odgovor je jednostavan, naravno

Више

Zadatak T=5: Jedinica WBSD propušta vred Potiče iz polja Rwb.LMD Signal Rwb.WRLMD izaziva propuštanje ove vrednosti 2. Vrednost 0000

Zadatak T=5: Jedinica WBSD propušta vred Potiče iz polja Rwb.LMD Signal Rwb.WRLMD izaziva propuštanje ove vrednosti 2. Vrednost 0000 Zadatak 2.1 1. T=5: Jedinica WBSD propušta vred 0000 0003 Potiče iz polja Rwb.LMD Signal Rwb.WRLMD izaziva propuštanje ove vrednosti 2. Vrednost 0000 0003 koja je pročitana u taktu 5 (prethodno pitanje)

Више