Logicko projektovanje racunarskih sistema I

Величина: px
Почињати приказ од странице:

Download "Logicko projektovanje racunarskih sistema I"

Транскрипт

1 POKAZNA VEŽBA 10 Strukture za računanje Potrebno predznanje Urađena pokazna vežba 8 Poznavanje aritmetičkih digitalnih sistema i aritmetičko-logičkih jedinica Osnovno znanje upravljačkih jedinica digitalnih sistema Šta će biti naučeno tokom izrade vežbe? Nakon urađene vežbe bićete u mogućnosti da: Rukovodite različitim nivoima apstrakcije u vašem digitalnom sistemu nakon implementiranja jednostavnije komponente, istu ćete koristiti kao crnu kutiju unutar složenijeg sistema Primenite osnovne principe projektovanja složenih sistema modularnost, apstrakciju i skrivanje informacija Opišete složeni digitalni sistem u VHDL jeziku koristeći instanciranje modula Projektujete strukture za računanje kao digitalne sisteme Projektujete upravljačke jedinice za strukture za računanje Razumete različite tipove upravljačkih jedinica i izaberete odgovarajući tip za datu primenu Projektujete upravljačke jedinice koje izvršavaju određeni program na datoj strukturi za računanje. Apstrakt i motivacija Digitalni sistemi su pokazali svoju pravu moć i pregršt mogućnosti primene onog trenutka kada su počeli da se primenjuju za računanje. Mašina za računanje složenih matematičkih operacija ubrzo je evoluirala u mašinu koja je postala svačiji lični asistent obaveštava nas, omogućuje nam da pričamo sa udaljenim osobama, priča sa nama, planira nam dan, zabavlja nas, upravlja našim kućnim budžetom i asistira nas na još veliki broj načina. Naravno, još uvek može da rešava parcijalne diferencijalne jednačine, ukoliko vam ikad to zatreba. Ova mašina je, svakako, vaš omiljeni lični računar, a mozak te mašine je procesor univerzalna struktura za računanje. U ovoj vežbi ćemo se upoznati sa strukturama za računanje, naučićemo kako se one projektuju i kako se njima upravlja. Kroz jednostavan primer, implementiraćete vašu prvu strukturu za računanje. Nakon ove vežbe bićete pripremljeni da rešite konačni zadatak našeg predmeta projektovanje univerzalne strukture za računanje, procesora. 1

2 TEORIJSKE OSNOVE 1. Opis složenih digitalnih sistema u VHDL jeziku Osnovni principi prilikom projektovanja složenih sistema, ne samo u digitalnom svetu, su principi apstrakcije, modularnosti i skrivanja informacija. Principi modularnosti i apstrakcije znače da se neki deo sistema može zatvoriti u crnu kutiju i koristiti samo posmatrajući njegove ulaze i izlaze (apstrakcija se odnosi na izdvajanje bitnih osobina datog dela sistema, odn. njegovog ponašanja). Kada koristimo deo sistema kao crnu kutiju, kažemo da se nalazimo na višem nivou apstrakcije, u odnosu na nivo u kome je projektovan sadržaj te crne kutije. Složeni sistemi se projektuju kombinovanjem ovih crnih kutija povezivanjem njihovih prolaza. Koristeći ovaj pristup, sistem se može posmatrati kroz nivoe apstrakcije od najnižih (digitalna logička kola, flip-flopovi) do najviših (vrh hijerarhije sistema). Princip skrivanja informacija znači da, nakon što deo sistema zatvorimo u crnu kutiju, korišćenje tog dela sistema ne sme da zavisi od njegovog načina realizacije, odn. od onoga što se nalazi u crnoj kutiji. Razumevanje ovog principa zahteva veću pažnju ovaj princip ne zabranjuje korisniku modula da poznaje arhitekturu sistema koji koristi. Naprotiv, princip olakšava rad korisniku, jer korisnik ne treba da bude primoran da poznaje arhitekturu sistema ako želi da ga iskoristi kao komponentu. Jedan od najčešćih razloga kašnjenja u projektima je potreba da se ulazi u tuđ sistem, razume njegov rad, modifikuje po potrebi i iskoristi u svom sistemu. Ovo nikada ne bi trebao biti slučaj! Nakon što je neki modul završen, niko ko ga koristi ne bi trebao biti primoran da razume njegovu unutrašnjost da bi ga koristio, a sve potrebne promene unutar njega treba da vrši autor modula. Ovaj princip obavezuje autora nekog modula da ga napravi potpunog, iskoristljivog isključivo putem svojih prolaza i specifikacije funkcionalnosti. Pričajući u VHDL jeziku, kada završite projektovanje vašeg entiteta (entity), on mora biti iskoristljiv kroz svoje prolaze (ports) i specifikaciju ponašanja za date ulaze. Korisnik modula, odn. projektant sistema na višem nivou apstrakcije, nikada ne treba biti primorana da razume arhitekturu (architecture) modula da bi ga koristila. Ovi principi su potreban uslov da bi se projektovali složeni sistemi, pošto na visokim nivoima složenosti postaje nemoguće projektovati sistem vodeći računa o svakoj komponenti na najnižem nivou apstrakcije. Zamislite samo da se najnoviji procesori u celosti projektuju na nivou logičkih kola, koliko bi takvo projektovanje trajalo i da li bi uopšte bilo moguće? Mi smo već koristili ove principe u ranijim primerima, kada smo kombinacionu mrežu prikazali pomoću oblačića. Oblačić je u stvari crna kutija koja predstavlja skup logičkih kola koji realizuju funkciju koju mi želimo, no mi koristimo oblačić kao crnu kutiju ne vodeći računa o njegovom sadržaju, već samo posmatrajući njegove ulaze i izlaze. Slično možemo da uradimo i sa ranije realizovanim LED show sistemom. Kao što je prikazano na Slici 1-1, mi taj sistem možemo zatvoriti u crnu kutiju i koristiti ga u složenijim sistemima samo preko njegovih ulaza/izlaza. 2

3 Slika 1-1. LED show sistem zatvoren u crnu kutiju Nakon što pređemo na viši nivo apstrakcije, LED show sistem koristimo kao komponentu komunicirajući sa njom putem njenih ulaza/izlaza (odn. prolaza). VHDL jezik i alat Xilinx ISE podržavaju ranije navedene principe prilikom projektovanja složenih digitalnih sistema omogućavajući definisanje više entiteta unutar jednog projekta i instanciranje jednog entiteta u drugom. Na primer, pretpostavimo da želimo da iskoristimo naš LED show sistem u složenijem sistemu u kome, sem LED show entiteta, želimo da postavimo još jedan brojač između izlaza LED show sistema i izlaza ka LED diodama. Na višem nivou apstrakcije, možemo da instanciramo komponentu LED show sistema i povežemo je na ostatak sistema, kompletirajući sistem kao na Slici 1-2. U terminologiji digitalnih sistema, opis sistema na najvišem nivou hijerarhije se naziva top level. Slika 1-2. Top level složenog digitalnog sistema Instanciranje komponenti se u VHDL jeziku vrši unutar opisa arhitekture sistema. Kako bi pokazali kako se vrši instanciranje komponenti, posmatrajmo za početak top level sistema na Slici 1-3 koji se sastoji od dve komponente COMP1 i COMP2. Neka komponenta COMP1 ima dva ulaza (X1, X2) i jedan izlaz (Y). Neka komponenta COMP2 ima jedan ulaz (X) i dva izlaza (Y1, Y2). Komponente treba povezati kao na Slici 3-3. Komponente COMP1 i COMP2 su definisane kao posebni entiteti, a njihovi opisi se nalaze u posebnim VHDL datotekama. Listing 3-1 prikazuje arhitekturu najvišeg nivoa apstrakcije sistema gde se vidi način instanciranja komponenti u VHDL jeziku. Sistem ima dva ulaza (ia, ib) i dva izlaza (oc, od) koji treba da se povežu da ulaze komponente COMP1 i izlaze komponente COMP2, respektivno. Izlaz komponente COMP1 povezati sa ulazom komponente COMP2. Komponente se međusobno vezuju žicama, odn. signalima u VHDL-u. U ovom primeru, neka to bude signal S. 3

4 Listing 1-1. Arhitektura sistema sa Slike 3-3 Slika 1-3. Primer sistema sa dve komponente architecture Behavioral of MyTopLevel is begin component COMP1 is port ( X1 : in std_logic; X2 : in std_logic; Y : out std_logic ); end component; component COMP2 is port ( X : in std_logic; Y1 : out std_logic; Y2 : out std_logic ); end component; signal S : std_logic; icomp1 : COMP1 port map ( X1 => ia, X2 => ib, Y => S ); icomp2 : COMP2 port map ( X => S, Y1 => oc, Y2 => od ); end Behavioral; 4

5 Instanciranje komponente zahteva sledeće tri akcije: treba dodati VHDL datoteku u kojoj je opisana komponenta u projekat (izborom Project --> Add Source...) unutar arhitekture opisa vrha hijerarhije, deklaracija komponente treba biti napisana bre ključne reči begin, ona je identična opisu entiteta u svemu sem u ključnoj reči na početku i kraju opisa Nakon ključne reči begin, komponenta se treba instancirati koristeći sintaksu iz Listinga 1-1. Prilikom navođenja veza, ime pre operatora obrnute dodele (=>) je naziv prolaza komponente, a ime nakon njega je naziv signala u vrhu hijerarhije koji se povezuje na odgovarajući prolaz. Sve žice unutar vrha hijerarhije koje služe da bi se povezale dve komponente treba deklarisati kao interne signale. 2. Aritmetički elementi struktura za računanje Strukture za računanje su digitalni sistemi projektovani s ciljem izvršavanja matematičkih aritmetičkih i logičkih operacija. Najkorišćenije komponente unutar struktura za računanje su standardne kombinacione i sekvencijalne mreže sa kojima ste već upoznati: Sabirači i oduzimači Množači i delioci Pomerači Komparatori Aritmetičko-logičke jedinice Multiplekseri Registri Svaka struktura za računanje ima bar tri dela: 1. Registri opšte namene za čuvanje izračunatih vrednosti 2. Aritmetičko-logički deo za izvršavanje računanja 3. Upravljački deo za upravljanje registrima i aritmetičko-logičkim delom strukture. Primer dela strukture za računanje je dat na slici 2-1. iclk inrst sr0_en R0 iclk inrst sr1_en R1 + SHL = oresult sadder_op [1:0] sshl_en scmp_with [31:0], scmp_en Slika 2-1. Primer aritmetičkog dela strukture za računanje 5

6 Struktura za računanje sa slike 2-1 se može analizirati kroz ranije definisane delove: 1. Registri opšte namene R0 i R1 su dva 32-bitna registra, koriste se za čuvanje izračunatih vrednosti 2. Aritmetičko-logički deo se sastoji iz: a. 32-bitnog sabirača, koji prima dva 32-bitna operanda na ulazu i računa 32-bitni zbir prikazujući ga na izlazu (prenos se zanemaruje) b. 32-bitnog pomerača za jedno mesto u levo c. 32-bitnog komparatora, koji poredi dve vrednosti sa ulaza i na izlazu postavlja vrednost 1 ukoliko su ulazu jednaki, a 0 u suprotnom. 3. Upravljačka jedinica (nije prikazana na slici) kontroliše rad ostatka sistema: a. Registri se kontrolišu pomoću dva signala dozvole upisa (jedan po registru); ukoliko je signal dozvole aktivan (na vrednosti 1), u registar se upisuje vrednost sa ulaza, u suprotnom registar pamti staru vrednost b. Sabirač je kontrolisan 2-bitnim signalom sadder_op[1:0] i. Ukoliko je taj signal na vrednosti 00, prvi operand sabirača je vrednost registra R0, a drugi operand je vrednost registra R1 ii. Ukoliko je taj signal na vrednosti 01, prvi operand sabirača je konstanta 1, a drugi operand je vrednost registra R1 iii. Ukoliko je taj signal na vrednosti 10, prvi operand sabirača je vrednost registra R0, a drugi operand je konstanta 0 iv. Ukoliko je taj signal na vrednosti 11, prvi operand sabirača je konstanta 0, a drugi operand je vrednost registra R1 c. Pomerač je kontrolisan pomoću signala dozvole pomeranja sshl_en; ukoliko je signal dozvole aktivan (na vrednosti 1), pomerač pomera ulaznu vrednost za jedno mesto ulevo, a u suprotnom ne pomera ulaznu vrednost d. Komparator se kontroliše 32-bitnim operandom scmp_with[31:0], u pitanju je vrednost sa kojom se poredi izlaz iz pomerača, kao i signalom dozvole poređenja scmp_en; ukoliko je signal dozvole neaktivan, komparator će na izlazu uvek davati vrednost 0 i neće vršiti poređenje. Struktura za računanje neće vršiti nikakve operacije dok ne dobije aktivne kontrolne signale. Upravo pomoću ovih kontrolnih signala upravljačka jedinica može da kontroliše rad strukture za računanje jednostavnim definisanjem vrednosti kontrolnih signala u svakom trenutku vremena. Okrećemo se sada projektovanju upravljačkih jedinica. 3. Upravljačke jedinice struktura za računanje Upravljačka jedinica je centralna upravljačka stanica strukture za računanje. Njen zadatak je da definiše vrednosti kontrolnih signala čitavoj strukturi za računanje, za svaku komponentu. Upravljačke jedinice se mogu implementirati na dva načina: Opšte upravljačke jedinice se projektuju tako da mogu da izvršavaju proizvoljan skup operacija, tzv. program. Ove upravljačke jedinice primaju na ulazu instrukcije, tj. šifre koje govore upravljačkoj jedinici koju operaciju treba da izvrši u datom koraku. Instrukcije su često upamćene u memoriji iz koje se preuzimaju jedna za drugom i izvršavaju. 6

7 Specifične upravljačke jedinice se projektuju tako da izvršavaju jedan predodređeni niz operacija. Ove upravljačke jedinice ne primaju instrukcije, nego prilikom svakog pokretanja generišu isti niz kontrolnih signala i time izvršavaju konstantan program. One su jednostavnije za projektovanje, ali ograničavaju mogućnosti sistema kojim upravljaju. Prema tipu digitalnog sistema kojim je implementirana upravljačka jedinica, možemo razlikovati: Kombinacione upravljačke jedinice koje generišu kontrolne signale kombinacionom logikom, u zavisnosti od instrukcije koja se trenutno nalazi na njihovom ulazu. Ovim načinom se mogu implementirati jedino opšte upravljačke jedinice i one tada instrukcije izvršavaju uvek u jednom ciklusu takta sistema. Specifične upravljačke jedinice se ne mogu implementirati na ovaj način, osim ukoliko želite da vaš program ima samo jednu instrukciju! Upravljačke jedinice zasnovane na automatu sa konačnim brojem stanja prolaze kroz niz stanja i time izvršavaju niz instrukcija. Trenutno stanje automata i, ukoliko postoji, trenutna instrukcija, određuju izlaz automata, tj. kontrolne signale ka ostatku sistema. o Opšte upravljačke jedinice se implementiraju na ovaj način ukoliko instrukciju treba izvršiti u više faza, gde svaka faza odgovara stanju automata. o Specifične upravljačke jedinice se na ovaj način implementiraju veoma često, a tada jedno stanje automata odgovara jednoj instrukciji programa. Mikroprogramske upravljačke jedinice izvršavaju mikroprogram definisan u mikroprogramskoj memoriji unutar upravljačke jedinice. Mikroprogram definiše niz kontrolnih signala potrebnih da bi se izvršila data instrukcija i/ili program. o Opšte upravljačke jedinice se implementiraju na ovaj način ukoliko instrukciju treba izvršiti u više faza, a svaka faza odgovara jednoj mikroinstrukciji mikroprogama unutar upravljačke jedinice. o Specifične upravljačke jedinice se implementiraju na ovaj način ukoliko je željeni program zapamćen unutar upravljačke jedinice kao mikroprogram. Na ovom predmetu nećemo implementirati mikroprogramske upravljačke jedinice, zbog njihove složenosti. U ovoj vežbi ćemo implementirati specifičnu upravljačku jedinicu i primer opšte kombinacione upravljačke jedinice. 7

8 ZADACI 4. Aritmetički deo strukture za računanje Kao prvi korak, implementiraćemo strukturu za računanje sa slike 4-1. iclk inrst sr0_en R0 iclk inrst sr1_en R1 + SHL = oresult sadder_op [1:0] sshl_en scmp_with [31:0], scmp_en Slika 4-1. Primer aritmetičkog dela strukture za računanje Opišite ovu upravljačku jedinicu u jednoj VHDL datoteci. Jedini ulazi sistema su takt i reset, dok je jedini izlaz oresult. Svi kontrolni signali trebaju biti definisani kao interni signali. Oni će biti definisani upravljačkom jedinicom koju ćemo naknadno dodati. Referencirajte se na teorijski deo vežbe za objašnjenje funkcionisanja svake od komponenata. 5. Specifična upravljačka jedinica Sada ćemo implementirati jednostavnu specifičnu upravljačku jedinicu koja izvršava sledeći kratki program: R0 <- (R0 + R1) << 1 R1 <- R1 + 1 R1 == 4? Odlučite šta treba da budu vrednosti upravljačkih signala da bi se implementirala svaka od instrukcija ovog programa. Implementirajte upravljačku jedinicu kao automat sa 3 stanja, jedan po instrukciji. Neka se automat beskonačno vrti između ova tri stanja. Početne vrednosti registara (u resetu) postavite na R0 = 0 i R1 = 0. Ovaj program računa vrednost sledeće sume: N 1 i 2 N i i=0 8

9 Kada oresult dobije vrednost 1, tj. kada je R1 = 4, vrednost registra R0 je vrednost ove sume za N = 4. Promenom vrednosti sa kojom se poredi vrednost registra R1 moguće je lako ovaj program modifikovati tako da računa vrednost sume za proizvoljno N (naravno, ograničeno širinom registra). Opciono pokušajte modifikovati upravljačku jedinicu tako da kada vrednost oresult dostigne vrednost 1, automat prelazi u četvrto, konačno stanje, u kome sistem ne radi ništa (NOP) i automat ostaje stalno u tom stanju. 6. Opšta upravljačka jedinica implementirana kao kombinaciona mreža Sada ćemo modifikovati upravljačku jedinicu tako da ona prima instrukcije. Ovom modifikacijom upravljačka jedinica postaje opšta i može da realizuje proizvoljan program u okviru skupa instrukcija koje podržava. Dodaćemo još jedan ulaz sistema, iinstr[4:0], koji će predstavljati 5-bitnu instrukciju. Instrukcija treba da bude poslata ka upravljačkoj jedinici. Podržaćemo skup od šest instrukcija, prikazanih u tabeli 6-1. Tabela 6-1. Instrukcije podržane strukturom za računanje Mnemonik Kod Instrukcija ADD 000 dest <= R0 + R1 INC 001 R1 <= R1 + 1 MOV 010 dest <= src CMP4 011 R1 == 4? SHL 100 dest <= src << 1 ASH 101 dest <= (R0+R1) << 1 Instrukcija ima sledeći format: 3 bita kod instrukcije 1 bit odredišni registar (dest) 1 bit izvorni registar (src) Na primer, instrukcija je MOV instrukcija iz registra R1 u registar R0. Implementirajte ovakvu upravljačku jedinicu podržavajući ovih šest instrukcija kroz kombinacionu mrežu direktno definišući kontrolne signale u zavisnosti od trenutne instrukcije. Simulirajte strukturu za računanje koristeći ove tri instrukcije iz prethodnog problema kao ulaze (definišite ih u test benchu). ZAKLJUČAK Čestitamo na implementaciji vaše prve strukture za računanje! Struktura za računanje koju ste upravo implementirali je veoma jednostavan primer procesora strukture koja može da računa i odgovara na instrukcije. Ovaj procesor je veoma ograničen u svojim mogućnostima, ali sada ste spremni da projektujete univerzalnu strukturu za računanje sa opštom upravljačkom jedinicom RISC procesor. 9

LAB PRAKTIKUM OR1 _ETR_

LAB PRAKTIKUM OR1 _ETR_ UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA, TELEKOMUNIKACIJE I RAČUNARI PREDMET: OSNOVE RAČUNARSTVA 1 FOND ČASOVA: 2+1+1 LABORATORIJSKA VJEŽBA BROJ 1 NAZIV: REALIZACIJA

Више

Увод у организацију и архитектуру рачунара 1

Увод у организацију и архитектуру рачунара 1 Увод у организацију и архитектуру рачунара 2 Александар Картељ kartelj@matf.bg.ac.rs Напомена: садржај ових слајдова је преузет од проф. Саше Малкова Увод у организацију и архитектуру рачунара 2 1 Секвенцијалне

Више

Орт колоквијум

Орт колоквијум Испит из Основа рачунарске технике - / (6.6.. Р е ш е њ е Задатак Комбинациона мрежа има пет улаза, по два за број освојених сетова тенисера и један сигнал који одлучује ко је бољи уколико је резултат

Више

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на след

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на след Испит из Основа рачунарске технике OO - / (...) Р е ш е њ е Задатак Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на следећој слици: S R Асинхрони RS флип флопреализован помоћу НИЛИ кола је

Више

_sheets.dvi

_sheets.dvi Zavod za elektroniku, mikroelektroniku, 28. studenog 2008. računalne i inteligentne sustave 2. me duispit iz Arhitekture računala 2, teorijski dio 1. Koja komponenta modernih računala nije bila prisutnau

Више

Logičke izjave i logičke funkcije

Logičke izjave i logičke funkcije Logičke izjave i logičke funkcije Građa računala, prijenos podataka u računalu Što su logičke izjave? Logička izjava je tvrdnja koja može biti istinita (True) ili lažna (False). Ako je u logičkoj izjavi

Више

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећ

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећ Испит из Основа рачунарске технике OO - 27/2 (9.6.2.) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећој слици: S Q R Q Асинхрони RS флип флопреализован помоћу НИ

Више

P1.2 Projektovanje asemblera

P1.2 Projektovanje asemblera ПРОЈЕКТОВАЊЕ АСЕМБЛЕРА Асемблер Модули асемблера 1 Дефинисање новог лингвистичког нивоа превођењем Потребан преводилац алат који преводи програм написан на једном језику (на једном лингвистичком нивоу)

Више

Algoritmi i arhitekture DSP I

Algoritmi i arhitekture DSP I Univerzitet u Novom Sadu Fakultet Tehničkih Nauka Katedra za računarsku tehniku i međuračunarske komunikacije Algoritmi i arhitekture DSP I INTERNA ORGANIACIJA DIGITALNOG PROCESORA A OBRADU SIGNALA INTERNA

Више

Microsoft PowerPoint - 12a PEK EMT VHDL 1 od 4 - Uvod (2011).ppt [Compatibility Mode]

Microsoft PowerPoint - 12a PEK EMT VHDL 1 od 4 - Uvod (2011).ppt [Compatibility Mode] VHDL jezik za opis hardvera VHDL jezik za opis hardvera VHDL jezik za opis hardvera Prof. Dr Predrag Petković Dr Miljana Milić Sadržaj 1. Šta je VHDL? 2. Opis hardvera 3. Signali 4. Osnove VHDL pravopisa

Више

Орт колоквијум

Орт колоквијум II колоквијум из Основа рачунарске технике I - 27/28 (.6.28.) Р е ш е њ е Задатак На улазе x, x 2, x 3, x 4 комбинационе мреже, са излазом z, долази четворобитни BCD број. Ако број са улаза при дељењу

Више

ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera

ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera CILJ VEŽBE Cilj ove vežbe je da se studenti kreiranjem

Више

ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати и

ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати и ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати истинитоносне таблице изведених логичких везника (НИ,

Више

TEORIJA SIGNALA I INFORMACIJA

TEORIJA SIGNALA I INFORMACIJA Multiple Input/Multiple Output sistemi MIMO sistemi Ulazi (pobude) Izlazi (odzivi) u 1 u 2 y 1 y 2 u k y r Obrada=Matematički model Načini realizacije: fizički sistemi (hardware) i algoritmi (software)

Више

Microsoft PowerPoint - 1.DE.RI3g.09.Uvod

Microsoft PowerPoint - 1.DE.RI3g.09.Uvod Дејан Јокић Миломир Шоја Предмет: ДИГИТАЛНА ЕЛЕКТРОНИКА Број кредита: 6 Седмично часова: 2+2+12+1 (П+АВ+ЛВ) Укупно часова: 30+45 Пун назив ДИГИТАЛНА ЕЛЕКТРОНИКА Скраћени назив Статус Семестар ЕСПБ Фонд

Више

P11.3 Analiza zivotnog veka, Graf smetnji

P11.3 Analiza zivotnog veka, Graf smetnji Поједностављени поглед на задњи део компајлера Међурепрезентација (Међујезик IR) Избор инструкција Додела ресурса Распоређивање инструкција Инструкције циљне архитектуре 1 Поједностављени поглед на задњи

Више

Microsoft PowerPoint - 13 PIK (Mentor Graphic ASIC).ppt

Microsoft PowerPoint - 13 PIK (Mentor Graphic ASIC).ppt Projektovanje integrisanih kola Delimično projektovanje po narudžbini Sadržaj: Sadržaj: I. I. Uvod Uvod - sistem projektovanja II. II. CMOS Analiza Proces kola primenom računara III. III. Potpuno Optimizacija

Више

OPIS RAČUNARSKOG SISTEMA Računarski sistem se sastoji od procesora, operativne memorije, tajmera i terminala. Sve komponente računarskog sistema su me

OPIS RAČUNARSKOG SISTEMA Računarski sistem se sastoji od procesora, operativne memorije, tajmera i terminala. Sve komponente računarskog sistema su me OPIS RAČUNARSKOG SISTEMA Računarski sistem se sastoji od procesora, operativne memorije, tajmera i terminala. Sve komponente računarskog sistema su međusobno povezane preko sistemske magistrale. Tajmer

Више

zad_6_2.doc

zad_6_2.doc .. S- i S- komunikacioni standardi Zadatak. Pomoću MX i čipa, potrebno je realizovati konvertor S- na S-. MX ima raspored pinova kao na slici..,0μf +V +V ULZ V CC T IN T IN OUT IN T OUT 0 9 OUT IN T OUT

Више

Model podataka

Model podataka Fakultet organizacionih nauka Uvod u informacione sisteme Doc. Dr Ognjen Pantelić Modeliranje podataka definisanje strategije snimanje postojećeg stanja projektovanje aplikativno modeliranje implementacija

Више

PROMENLJIVE, TIPOVI PROMENLJIVIH

PROMENLJIVE, TIPOVI PROMENLJIVIH PROMENLJIVE, TIPOVI PROMENLJIVIH Šta je promenljiva? To je objekat jezika koji ima ime i kome se mogu dodeljivati vrednosti. Svakoj promenljivoj se dodeljuje registar (memorijska lokacija) operativne memorije

Више

Zbirka resenih zadataka iz arhitekture racunara

Zbirka resenih zadataka iz arhitekture racunara Ј. ЂОРЂЕВИЋ, З. РАДИВОЈЕВИЋ, М. ПУНТ, Б. НИКОЛИЋ, Д. МИЛИЋЕВ, Ј. ПРОТИЋ, А. МИЛЕНКОВИЋ АРХИТЕКТУРА И ОРГАНИЗАЦИЈА РАЧУНАРА ПРЕКИДИ, МАГИСТРАЛА И УЛАЗ/ИЗЛАЗ ЗБИРКА РЕШЕНИХ ЗАДАТАКА Београд 2013. i САДРЖАЈ

Више

Microsoft Word - SIORT1_2019_K1_resenje.docx

Microsoft Word - SIORT1_2019_K1_resenje.docx I колоквијум из Основа рачунарске технике I СИ- 208/209 (24.03.209.) Р е ш е њ е Задатак f(x, x 2, x 3 ) = (x + x x ) x (x x 2 + x ) + x x 2 x 3 f(x, x 2, x 3 ) = (x + x x ) (x x + (x )) 2 + x + x x 2

Више

PowerPoint Presentation

PowerPoint Presentation Prof. dr Pere Tumbas Prof. dr Predrag Matkovid Identifikacija i izbor projekata Održavanje sistema Inicijalizacija projekata i planiranje Implementacija sistema Dizajn sistema Analiza sistema Faze životnog

Више

Microsoft Word - CAD sistemi

Microsoft Word - CAD sistemi U opštem slučaju, se mogu podeliti na 2D i 3D. 2D Prvo pojavljivanje 2D CAD sistema se dogodilo pre više od 30 godina. Do tada su inženjeri koristili table za crtanje (kulman), a zajednički jezik komuniciranja

Више

Tutoring System for Distance Learning of Java Programming Language

Tutoring System for Distance Learning of Java Programming Language Deklaracija promenljivih Inicijalizacija promenljivih Deklaracija promenljive obuhvata: dodelu simboličkog imena promenljivoj i određivanje tipa promenljive (tip određuje koja će vrsta memorijskog registra

Више

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i za generisanje željenih izlaznih signala (slika 1).

Више

Studijski primer - Dijagrami toka podataka Softverski inženjering 1

Studijski primer - Dijagrami toka podataka Softverski inženjering 1 - Dijagrami toka podataka Softverski inženjering 1 Kada projektujemo sistem za obradu podataka sa brzim odzivom, možemo uočiti dve ključne grupe funkcija koje se opisuju dijagramima toka podataka: Funkcije

Више

Kontrola korisnickih racuna (UAC) pomaze tako sto sprecava potencijalno stetne programe da izvrse promene u vasem racunaru i obavestava vas pre izvrse

Kontrola korisnickih racuna (UAC) pomaze tako sto sprecava potencijalno stetne programe da izvrse promene u vasem racunaru i obavestava vas pre izvrse Kontrola korisnickih racuna (UAC) pomaze tako sto sprecava potencijalno stetne programe da izvrse promene u vasem racunaru i obavestava vas pre izvrsenja svake promene a koje zahtevaju administratorsku

Више

Nastavna cjelina: 1. Jezik računala Kataloška tema: 1.1. Bit 1.2. Brojevi zapisani četvorkom bitova Nastavna jedinica: 1.1. Bit   1.2. Brojevi zapisan

Nastavna cjelina: 1. Jezik računala Kataloška tema: 1.1. Bit 1.2. Brojevi zapisani četvorkom bitova Nastavna jedinica: 1.1. Bit   1.2. Brojevi zapisan Nastavna cjelina: 1. Osnove IKT-a Kataloška tema: 1.6. Paralelni i slijedni ulazno-izlazni pristupi računala 1.7. Svojstva računala Unutar računala podatci su prikazani električnim digitalnim signalima

Више

P1.0 Uvod

P1.0 Uvod Системска програмска подршка у реалном времену 1 Миодраг Ђукић miodrag.djukic@rt-rk.uns.ac.rs www.rt-rk.uns.ac.rs 1 Системска програмска подршка у реалном времену 1 програмска подршка = софтвер Системски

Више

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x I колоквијум из Основа рачунарске технике I СИ- / (...) Р е ш е њ е Задатак Тачка А Потребно је прво пронаћи вредности функција f(x, x, x ) и g(x, x, x ) на свим векторима. f(x, x, x ) = x x + x x + x

Више

Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић

Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аутори: Драган Пејић, Бојан Вујичић, Небојша Пјевалица,

Више

P9.1 Dodela resursa, Bojenje grafa

P9.1 Dodela resursa, Bojenje grafa Фаза доделе ресурса Ова фаза се у литератури назива и фазом доделе регистара, при чему се под регистрима подразумева скуп ресурса истог типа. Додела регистара променљивама из графа сметњи се обавља тзв.

Више

Microsoft PowerPoint - OOPpredavanja05 [Compatibility Mode]

Microsoft PowerPoint - OOPpredavanja05 [Compatibility Mode] OBJEKTNO ORIJENTISANO PROGRAMIRANJE PREDAVANJE 5 OBJEKTI U INTERAKCIJI Miloš Kovačević Đorđe Nedeljković 1 /25 OSNOVNI KONCEPTI - Abstrakcija - Modularizacija - Objektne reference - Klasni dijagram - Objektni

Више

УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке Писмени део испита из предмета Увод у рачунарство 1. [7 пое

УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке Писмени део испита из предмета Увод у рачунарство 1. [7 пое УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке 30.06.2018. Писмени део испита из предмета Увод у рачунарство 1. [7 поена] Методом МакКласкија минимизарити систем прекидачких

Више

13E114PAR, 13S113PAR DOMAĆI ZADATAK 2018/2019. Cilj domaćeg zadatka je formiranje petlje softverske protočnosti za minimalni broj ciklusa.

13E114PAR, 13S113PAR DOMAĆI ZADATAK 2018/2019. Cilj domaćeg zadatka je formiranje petlje softverske protočnosti za minimalni broj ciklusa. 13E114PAR, 13S113PAR 29.04.2019. DOMAĆI ZADATAK 2018/2019. Cilj domaćeg zadatka je formiranje petlje softverske protočnosti za minimalni broj ciklusa. U okviru svake grupe data je doall ili doacross petlja

Више

Vektorski procesori Najveći izvor paralelizma su DoAll petlje kako je već definisano u poglavlju o paralelizaciji petlji. Paralelizam tih petlji, ako

Vektorski procesori Najveći izvor paralelizma su DoAll petlje kako je već definisano u poglavlju o paralelizaciji petlji. Paralelizam tih petlji, ako Vektorski procesori Najveći izvor paralelizma su DoAll petlje kako je već definisano u poglavlju o paralelizaciji petlji. Paralelizam tih petlji, ako se razmatraju samo zavisnosti po podacima, je srazmeran

Више

Slide 1

Slide 1 predmet Inženjerska informatika Operativni sistem dr Anica Milošević Koji operativni sistemi postoje? Microsoft Windows Linux Suse Red Hat Ubuntu Unix 26.1.2018. 2 Šta je Windows operativni sistem? Operativni

Више

Principi softverskog inženjerstva O predmetu

Principi softverskog inženjerstva  O predmetu Vežbe - IV nedelja Modeli baze podataka Dražen Drašković, asistent Elektrotehnički fakultet Univerziteta u Beogradu Potrebno je da: Razumete koncepte modela i njegovu svrhu Naučite kako se odnosi između

Више

23. siječnja od 13:00 do 14:00 Školsko natjecanje / Osnove informatike Srednje škole RJEŠENJA ZADATAKA S OBJAŠNJENJIMA Sponzori Medijski pokrovi

23. siječnja od 13:00 do 14:00 Školsko natjecanje / Osnove informatike Srednje škole RJEŠENJA ZADATAKA S OBJAŠNJENJIMA Sponzori Medijski pokrovi 3. siječnja 0. od 3:00 do 4:00 RJEŠENJA ZADATAKA S OBJAŠNJENJIMA Sponzori Medijski pokrovitelji Sadržaj Zadaci. 4.... Zadaci 5. 0.... 3 od 8 Zadaci. 4. U sljedećim pitanjima na pitanja odgovaraš upisivanjem

Више

POSLOVNI INFORMACIONI SISTEMI I RA^UNARSKE

POSLOVNI INFORMACIONI SISTEMI  I RA^UNARSKE ZNAČAJ RAČUNARSKIH KOMUNIKACIJA U BANKARSKOM POSLOVANJU RAČUNARSKE MREŽE Računarske mreže su nastale kombinacijom računara i telekomunikacija dve tehnologije sa veoma različitom tradicijom i istorijom.

Више

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode]

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode] ij Cilj: Dobiti što više informacija o ponašanju digitalnih kola za što kraće vreme. Metod: - Detaljni talasni oblik signala prikazati samo na nivou logičkih stanja. - Simulirati ponašanje kola samo u

Више

oss_40_ docx

oss_40_ docx Шифра и назив предмета: 0132.11 Аутоматизација производних процеса Обим наставе у бодовима: 6 ЕСПБ Семестар: VI Фонд часова за предавање, вежбе и ДОН: - недељно : 2 + 2 + 1 - укупно : 30 + 30 + 15 = 75

Више

ELEKTRONIKA

ELEKTRONIKA МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА РЕПУБЛИКЕ СРБИЈЕ ЗАЈЕДНИЦА ЕЛЕКТРОТЕХНИЧКИХ ШКОЛА РЕПУБЛИКЕ СРБИЈЕ ДВАДЕСЕТ ДРУГО РЕГИОНАЛНО ТАКМИЧЕЊЕ ЗАДАЦИ ИЗ ЕЛЕКТРОНИКЕ ЗА УЧЕНИКЕ ТРЕЋЕГ РАЗРЕДА

Више

Zadatak T=5: Jedinica WBSD propušta vred Potiče iz polja Rwb.LMD Signal Rwb.WRLMD izaziva propuštanje ove vrednosti 2. Vrednost 0000

Zadatak T=5: Jedinica WBSD propušta vred Potiče iz polja Rwb.LMD Signal Rwb.WRLMD izaziva propuštanje ove vrednosti 2. Vrednost 0000 Zadatak 2.1 1. T=5: Jedinica WBSD propušta vred 0000 0003 Potiče iz polja Rwb.LMD Signal Rwb.WRLMD izaziva propuštanje ove vrednosti 2. Vrednost 0000 0003 koja je pročitana u taktu 5 (prethodno pitanje)

Више

SPR , IV godina, VHDL – Ispitna pitanja

SPR , IV godina, VHDL – Ispitna pitanja VHDL, SPR, II kolokvijum, priprema, 2016 Napomena: Na kolokvijumu dolazi 1 zadatak (40%) i 1 praktican projekat (60%). Radi se u grupama prema utvrdjenom rasporedu od I kolokvijuma. A. ZADAI Priloziti

Више

Dijagrami sekvenci

Dijagrami sekvenci Dijagrami sekvenci Izrada dijagrama sekvenci Dijagram sekvenci koristi se za specifikaciju vremenskih zahteva u opisu složenih scenarija opis toka poruka između objekata kojima se realizuje odgovarajuća

Више

Slide 1

Slide 1 Катедра за управљање системима ТЕОРИЈА СИСТЕМА Предавањe 2: Основни појмови - систем, модел система, улаз и излаз UNIVERSITY OF BELGRADE FACULTY OF ORGANIZATIONAL SCIENCES План предавања 2018/2019. 1.

Више

Inženjering informacionih sistema

Inženjering informacionih sistema Fakultet tehničkih nauka, Novi Sad Inženjering informacionih sistema Dr Ivan Luković Dr Slavica Kordić Nikola Obrenović Milanka Bjelica Dr Jelena Borocki Dr Milan Delić UML UML (Unified Modeling Language)

Више

Razvoj programa, Code::Blocks, struktura programa, printf, scanf, konverzioni karakteri predavač: Nadežda Jakšić

Razvoj programa, Code::Blocks, struktura programa, printf, scanf, konverzioni karakteri predavač: Nadežda Jakšić Razvoj programa, Code::Blocks, struktura programa, printf, scanf, konverzioni karakteri predavač: Nadežda Jakšić projektni zadatak projektovanje programa (algoritmi) pisanje programskog koda, izvorni kod,

Више

Microsoft Word - inicijalni test 2013 za sajt

Microsoft Word - inicijalni test 2013 za sajt ИНИЦИЈАЛНИ ТЕСТ ИЗ МАТЕМАТИКЕ ЗА УЧЕНИКЕ ПРВОГ РАЗРЕДА ЗЕМУНСКЕ ГИМНАЗИЈЕ шк. 13 14. Циљ Иницијални тест за ученике првог разреда Земунске гимназије организован је с циљем увида у предзнање ученика, тј.

Више

Microsoft Word - Svrha projekta.doc

Microsoft Word - Svrha projekta.doc S V E U Č I L I Š T E U Z A G R E B U FAKULTET ELEKTROTEHNIKE I RAČUNARSTVA Zavod za elektroničke sustave i obradbu informacija FER 2 program, 1. godina diplomskog studija Kolegij: Sustavi za praćenje

Више

Microsoft PowerPoint - 03-Slozenost [Compatibility Mode]

Microsoft PowerPoint - 03-Slozenost [Compatibility Mode] Сложеност алгоритама (Програмирање 2, глава 3, глава 4-4.3) Проблем: класа задатака истог типа Велики број различитих (коректних) алгоритама Величина (димензија) проблема нпр. количина података које треба

Више

Microsoft PowerPoint - Bitovi [Compatibility Mode]

Microsoft PowerPoint - Bitovi [Compatibility Mode] Оператори над битовима (Јаничић, Марић: Програмирање 2, тачка 5.6) Оператори за рад са појединачним битовима Само на целобројне аргументе: ~ битовска негација & битовска конјункција (и) битовска (инклузивна)

Више

Техничко решење: Софтвер за симулацију стохастичког ортогоналног мерила сигнала, његовог интеграла и диференцијала Руководилац пројекта: Владимир Вуји

Техничко решење: Софтвер за симулацију стохастичког ортогоналног мерила сигнала, његовог интеграла и диференцијала Руководилац пројекта: Владимир Вуји Техничко решење: Софтвер за симулацију стохастичког ортогоналног мерила сигнала, његовог интеграла и диференцијала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аутори: Велибор

Више

Logicko projektovanje racunarskih sistema I

Logicko projektovanje racunarskih sistema I PRIMERI SA PREDAVANJA 17 Beta asembler Zadatak 1. Posmatrajmo sledeću funkciju napisanu u programskom jeziku C, za računanje najvećeg zajedničkog delioca dva pozitivna cela broja: int gcd(int a, int b)

Више

Техничко решење: Метода мерења реактивне снаге у сложенопериодичном режиму Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аут

Техничко решење: Метода мерења реактивне снаге у сложенопериодичном режиму Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аут Техничко решење: Метода мерења реактивне снаге у сложенопериодичном режиму Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аутори: Иван Жупунски, Небојша Пјевалица, Марјан Урекар,

Више

РАСПОРЕД ИСПИТА У ИСПИТНОМ РОКУ ЈАНУАР 1 ШКОЛСКЕ 2016/2017. ГОДИНЕ (последња измена ) Прва година: ПРВА ГОДИНА - сви сем информатике Име пр

РАСПОРЕД ИСПИТА У ИСПИТНОМ РОКУ ЈАНУАР 1 ШКОЛСКЕ 2016/2017. ГОДИНЕ (последња измена ) Прва година: ПРВА ГОДИНА - сви сем информатике Име пр РАСПОРЕД ИСПИТА У ИСПИТНОМ РОКУ ЈАНУАР 1 ШКОЛСКЕ 2016/2017. ГОДИНЕ (последња измена 23.01.2017.) Прва година: ПРВА ГОДИНА - сви сем информатике Име предмета Датум и термин одржавања писменог дела испита

Више

Припејд картица Корисничко упутство

Припејд картица Корисничко упутство Припејд картица Корисничко упутство Садржај 1 Регистрација корисника... 3 1.1 Креирање налога... 3 1.2 Активација налога... 6 2 Захтев за персонализацију Припејд картице... 8 2.1 Креирање захтева за персонализацију

Више

Microsoft PowerPoint - Programski_Jezik_C_Organizacija_Izvornog_Programa_I_Greske [Compatibility Mode]

Microsoft PowerPoint - Programski_Jezik_C_Organizacija_Izvornog_Programa_I_Greske [Compatibility Mode] Programski jezik C organizacija izvornog programa Prevođenje Pisanje programa izvorni program Prevođenje programa izvršni program Izvršavanje programa rezultat Faze prevođenja Pretprocesiranje Kompilacija

Више

Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: min c T x Ax = b x 0 x Z n Gde pretpostavljamo da je A celobrojna matrica

Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: min c T x Ax = b x 0 x Z n Gde pretpostavljamo da je A celobrojna matrica Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: min c T x Ax = b x 0 x Z n Gde pretpostavljamo da je A celobrojna matrica dimenzije m n, b Z m, c Z n. Takođe, očekuje se da

Више

Pojačavači

Pojačavači Programiranje u fizici Prirodno-matematički fakultet u Nišu Departman za fiziku dr Dejan S. Aleksić Programiranje u fizici dr Dejan S. Aleksić, vanredni profesor Kabinet 307 (treći sprat), lab. za elektroniku

Више

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011)

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011) РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11000 Београд, Мике Аласа 14, ПП: 34, ПАК: 105 305 телефон: (011) 32-82-736, телефакс: (011) 21-81-668 На основу члана 192. став

Више

06 Poverljivost simetricnih algoritama1

06 Poverljivost simetricnih algoritama1 ЗАШТИТА ПОДАТАКА Симетрични алгоритми заштите поверљивост симетричних алгоритама Преглед биће објашњено: коришћење симетричних алгоритама заштите како би се заштитила поверљивост потреба за добрим системом

Више

Microsoft Word - IzvjestajPlakalovic

Microsoft Word - IzvjestajPlakalovic И З В Ј Е Ш Т А Ј КОМИСИЈЕ О ПРИЈАВЉЕНИМ КАНДИДАТИМА ЗА ИЗБОР У ЗВАЊЕ I ПОДАЦИ О КОНКУРСУ Конкурс објављен: 22. 7. 2015. у дневном листу Глас Српске Ужа научна/умјетничка област: Информационе науке и биоинформатика

Више

RAČUNARSKI SISTEM Ne postoji jedinstvena definicija pojma računarski sistem. Računarski sistem predstavlja skup mašina i pridruženih metoda (realizova

RAČUNARSKI SISTEM Ne postoji jedinstvena definicija pojma računarski sistem. Računarski sistem predstavlja skup mašina i pridruženih metoda (realizova RAČUNARSKI SISTEM Ne postoji jedinstvena definicija pojma računarski sistem. Računarski sistem predstavlja skup mašina i pridruženih metoda (realizovanih u obliku softvera) organizovanih radi vršenja automatske

Више

Slide 1

Slide 1 Катедра за управљање системима ТЕОРИЈА СИСТЕМА Предавањe 1: Увод и историјски развој теорије система UNIVERSITY OF BELGRADE FACULTY OF ORGANIZATIONAL SCIENCES Катедра за управљање системима Наставници:

Више

Projektovanje digitalnih sistema

Projektovanje digitalnih sistema Projektovanje digitalnih sistema Metodologije dizajna Metodologije dizajna Odozgo ka dolje (top-down) Definiše se blok najvišeg nivoa i identifikuju se manji blokovi neophodni za njegovu implementaciju

Више

VIK-01 opis

VIK-01 opis Višenamensko interfejsno kolo VIK-01 Višenamensko interfejsno kolo VIK-01 (slika 1) služi za povezivanje različitih senzora: otpornog senzora temperature, mernih traka u mostnoj vezi, termopara i dr. Pored

Више

Microsoft PowerPoint - GR_MbIS_12_IDEF

Microsoft PowerPoint - GR_MbIS_12_IDEF Menadžment poslovnih informacionih sistema - 12 metode modeliranja funkcija pripremila Doc. dr Gordana Radić Integfated DEFinition Definicija: je metoda (jezik) modeliranja bazirana je na kombinaciji grafike

Више

Microsoft PowerPoint - MODELOVANJE-predavanje 9.ppt [Compatibility Mode]

Microsoft PowerPoint - MODELOVANJE-predavanje 9.ppt [Compatibility Mode] MODELONJE I SIMULIJ PROES 9. Rešavanje dinamičkih modela; osnovni pojmovi upravljanja procesima http://elektron.tmf.bg.ac.rs/mod Dr Nikola Nikačević METODE Z REŠNJE LINERNIH DINMIČKIH MODEL 1. remenski

Више

PowerPoint Presentation

PowerPoint Presentation МОБИЛНЕ МАШИНЕ предавање 1.1 садржај предмета, дефинисање машина Студијски програм: Врста и ниво студија: Машинско инжењерство Основне академске студије Назив предмета: MOБИЛНЕ МАШИНЕ 1 Наставник: Асистент:

Више

Упутство за пријављивање испита путем интернета Да би студент могао да пријави испит путем интернета мора прво да се пријави. Пријављивање се врши у п

Упутство за пријављивање испита путем интернета Да би студент могао да пријави испит путем интернета мора прво да се пријави. Пријављивање се врши у п Упутство за пријављивање испита путем интернета Да би студент могао да пријави испит путем интернета мора прво да се пријави. Пријављивање се врши у посебном дијалог-прозору до кога се долази линком есервис

Више

P1.3 Projektovanje makroasemblera

P1.3 Projektovanje makroasemblera ПРОЈЕКТОВАЊЕ МАКРОАСЕМБЛЕРА Макроасемблер Потребна проширења асемблера 1 МАКРОАСЕМБЛЕР Макроасемблер преводи полазни програм написан на макроасемблерском језику у извршиви машински програм. Приликом израде

Више

kriteriji ocjenjivanja - informatika 8

kriteriji ocjenjivanja - informatika 8 8. razred Nastavne cjeline: 1. Osnove informatike 2. Pohranjivanje multimedijalnih sadržaja, obrada zvuka 3. Baze podataka - MS Access 4. Izrada prezentacije 5. Timska izrada web stranice 6. Kritički odnos

Више

6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe

6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe 6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe, očekuje se da su koordinate celobrojne. U slučaju

Више

The Contemporary Systems Development Project Landscape

The Contemporary Systems Development Project Landscape Budući projektni ciklusi u Evropi Horizon Europe, nakon Horizon 2020 Program za period 2021-2027 Oko 100 milijardi ulaganja u istraživanja i inovativne programe Glavne osobine: Jačanje nauke i tehnologije

Више

Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР Школска 2018 /2019. Назив предмета: Информатика и рачунарство Разред: 5. Недељни број часова

Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР Школска 2018 /2019. Назив предмета: Информатика и рачунарство Разред: 5. Недељни број часова Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР јединице 1. 1. Увод у информатику и рачунарство 1. 2. Oрганизација података на рачунару 1. 3. Рад са текстуалним документима 1. 4. Форматирање

Више

PowerPoint Presentation

PowerPoint Presentation Универзитет у Нишу Електронски факултет у Нишу Катедра за теоријску електротехнику ЛАБОРАТОРИЈСКИ ПРАКТИКУМ ОСНОВИ ЕЛЕКТРОТЕХНИКЕ Увод Циљ и задаци предмета Припрема студената за практичан рад у Лабораторији

Више

Microsoft Word - tumacenje rezultata za sajt - Lektorisan tekst1

Microsoft Word - tumacenje rezultata za sajt -  Lektorisan tekst1 ПРИЛОГ ЗА ТУМАЧЕЊЕ РЕЗУЛТАТА ИСТРАЖИВАЊА TIMSS 2015 У међународном испитивању постигнућа TIMSS 2015 по други пут је у нашој земљи испитивано постигнуће ученика четвртог разреда у области математике и природних

Више

PuTTY CERT.hr-PUBDOC

PuTTY CERT.hr-PUBDOC PuTTY CERT.hr-PUBDOC-2018-12-371 Sadržaj 1 UVOD... 3 2 INSTALACIJA ALATA PUTTY... 4 3 KORIŠTENJE ALATA PUTTY... 7 3.1 POVEZIVANJE S UDALJENIM RAČUNALOM... 7 3.2 POHRANA PROFILA KORISNIČKIH SJEDNICA...

Више

LAB 4 - Binarni komparator

LAB 4 - Binarni komparator UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA,TELEKOMUNIKACIJE I RAČUNARI PREDMET: PROJEKTOVANJE DIGITALNIH SISTEMA FOND ČASOVA: 3 + 0 + 1 LABORATORIJSKA VJEŽBA NAZIV: REALIZACIJA

Више

KATALOG ZNANJA IZ INFORMATIKE

KATALOG ZNANJA IZ INFORMATIKE KATALOG ZNANJA IZ INFORMATIKE Nacionalni savjet za obrazovanje je na 27. sjednici održanoj 17. marta 2014. godine utvrdio izmjene predmetnoga programa INFORMATIKA za I razred gimnazije. Na zahtijev Pedagoško-psihološke

Више

Edukacioni racunarski sistem

Edukacioni racunarski sistem ТРАНСЛАЦИЈА ИНСТРУКЦИЈА 1. Разматра се рачунарски систем у коме се извршавање одређене инструкције одвија у 6 фаза помоћу измењеног процесора са стандардном проточном обрадом (слика 1.). У процесор са

Више

Рјешавање проблема потрошње у чиповима Александар Пајкановић Факултет техничких наука Универзитет у Новом Саду Фабрика чипова у Србији: има ли интерес

Рјешавање проблема потрошње у чиповима Александар Пајкановић Факултет техничких наука Универзитет у Новом Саду Фабрика чипова у Србији: има ли интерес Рјешавање проблема потрошње у чиповима Александар Пајкановић Факултет техничких наука Универзитет у Новом Саду Фабрика чипова у Србији: има ли интереса и кадрова? Петница, 28.-29. јун 2013. Садржај Увод

Више

Републички педагошки завод Бања Лука Стручни савјетник за машинску групу предмета и практичну наставу Датум: године Тема: Елементи и начин

Републички педагошки завод Бања Лука Стручни савјетник за машинску групу предмета и практичну наставу Датум: године Тема: Елементи и начин Републички педагошки завод Бања Лука Стручни савјетник за машинску групу предмета и практичну наставу Датум:.06.2009. године Тема: Елементи и начин вредновања графичког рада из раванских носачи 1 Увод:

Више

Tutoring System for Distance Learning of Java Programming Language

Tutoring System for Distance Learning of Java Programming Language Niz (array) Nizovi Niz je lista elemenata istog tipa sa zajedničkim imenom. Redosled elemenata u nizovnoj strukturi je bitan. Konkretnom elementu niza pristupa se preko zajedničkog imena niza i konkretne

Више

Microsoft Word - 11 Pokazivaci

Microsoft Word - 11 Pokazivaci Pokazivači U dosadašnjem radu smo imali prilike da koristimo promenljive koje smo deklarisali na početku nekog bloka. Prilikom deklaracije promenljiva dobija jedinstveni naziv i odgovarajući prostor u

Више

Za formiranje JOPPD obrasca neophodno je točno popuniti šifre u osnovama primitaka. Svaka osnova primitka ima propisane šifre u prilozima JOPPD

Za formiranje JOPPD obrasca neophodno je točno popuniti šifre u osnovama primitaka. Svaka osnova primitka ima propisane šifre u prilozima JOPPD Za formiranje JOPPD obrasca neophodno je točno popuniti šifre u osnovama primitaka. Svaka osnova primitka ima propisane šifre u prilozima 2.- 4. JOPPD obrasca i za svaku kombinaciju šifri su propisana

Више

УПУТСТВО ЗА КОРИСНИКА Приступ локацији часописа Српски архив за целокупно лекарство добија се преко internet adrese: Након

УПУТСТВО ЗА КОРИСНИКА Приступ локацији часописа Српски архив за целокупно лекарство добија се преко internet adrese:   Након УПУТСТВО ЗА КОРИСНИКА Приступ локацији часописа Српски архив за целокупно лекарство добија се преко internet adrese: http://www.srpskiarhiv.rs/ Након тога се на екрану појављује форма за пријаву на часопис

Више

NACIONALNI REGISTAR IZVORA ZAGAĐIVANJA UPUTSTVO ZA KORIŠĆENJE INFORMACIONOG SISTEMA NACIONALNOG REGISTRA IZVORA ZAGAĐIVANJA Beograd, 2014.

NACIONALNI REGISTAR IZVORA ZAGAĐIVANJA UPUTSTVO ZA KORIŠĆENJE INFORMACIONOG SISTEMA NACIONALNOG REGISTRA IZVORA ZAGAĐIVANJA Beograd, 2014. NACIONALNI REGISTAR IZVORA ZAGAĐIVANJA UPUTSTVO ZA KORIŠĆENJE INFORMACIONOG SISTEMA NACIONALNOG REGISTRA IZVORA ZAGAĐIVANJA Beograd, 2014. Sadržaj Uvod... 2 Prvo pokretanje aplikacije... 3 Važne informacije

Више

Microsoft PowerPoint - 12 PAIK Planiranje rasporeda modula (2016) [Compatibility Mode]

Microsoft PowerPoint - 12 PAIK Planiranje rasporeda modula  (2016) [Compatibility Mode] Integrisana kola sa mešovitim signalima Projektovanje analognih integrisanih kola Prof. Dr Predrag Petković, Dejan Mirković Katedra za elektroniku Elektronski fakultet Niš Sadržaj: I. Uvod II. Lejaut analognih

Више

UNIVERZITET UKSHIN HOTI PRIZREN FAKULTET RAČUNARSKIH NAUKA PROGRAM: TIT - BOS NASTAVNI PLAN-PROGRAM SYLLABUS Nivo studija Bachelor Program TIT-Bos Aka

UNIVERZITET UKSHIN HOTI PRIZREN FAKULTET RAČUNARSKIH NAUKA PROGRAM: TIT - BOS NASTAVNI PLAN-PROGRAM SYLLABUS Nivo studija Bachelor Program TIT-Bos Aka UNIVERZITET UKSHIN HOTI PRIZREN FAKULTET RAČUNARSKIH NAUKA PROGRAM: TIT - BOS NASTAVNI PLAN-PROGRAM SYLLABUS Nivo studija Bachelor Program TIT-Bos Akademska godina 018/019 PREDMET Godina studija I Status

Више

Paper Title (use style: paper title)

Paper Title (use style: paper title) Универзални мерно аквизициони систем базиран на Java програмском језику Душан Диковић Факултет техничких наука, Чачак Електротехничко и рачунарско инжењерство, даљинско управљање, 2013/2014 e-mail dikovic.dusan@gmail.com

Више

PowerPoint Presentation

PowerPoint Presentation МОБИЛНЕ МАШИНЕ 2 предавање 1.1 садржај предмета, дефинисање машина Назив предмета: МОБИЛНЕ МАШИНЕ 2 Наставник: Сарадник: Предраг Милић Шифра предмета: Година: I Семестар: 2 Статус предмета: Број ЕСПБ:

Више

F-6-14

F-6-14 РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ЕКОНОМИЈЕ И РЕГИОНАЛНИХ ОДНОСА ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11 000 Београд, Мике Аласа 14, поштански преградак 34, ПАК 105305 телефон: (011) 3282-736, телефакс: (011)

Више

ДРУШТВО ФИЗИЧАРА СРБИЈЕ МИНИСТАРСТВО ПРОСВЕТЕ И СПОРТА РЕПУБЛИКЕ СРБИЈЕ Задаци за републичко такмичење ученика средњих школа 2006/2007 године I разред

ДРУШТВО ФИЗИЧАРА СРБИЈЕ МИНИСТАРСТВО ПРОСВЕТЕ И СПОРТА РЕПУБЛИКЕ СРБИЈЕ Задаци за републичко такмичење ученика средњих школа 2006/2007 године I разред ДРУШТВО ФИЗИЧАРА СРБИЈЕ МИНИСТАРСТВО ПРОСВЕТЕ И СПОРТА РЕПУБЛИКЕ СРБИЈЕ Задаци за републичко такмичење ученика средњих школа 006/007 године разред. Електрични систем се састоји из отпорника повезаних тако

Више

ТРОУГАО БРЗИНА и математичка неисправност Лоренцове трансформације у специјалној теорији релативности Александар Вукеља www.

ТРОУГАО БРЗИНА и математичка неисправност Лоренцове трансформације у специјалној теорији релативности Александар Вукеља www. ТРОУГАО БРЗИНА и математичка неисправност Лоренцове трансформације у специјалној теорији релативности Александар Вукеља aleksandar@masstheory.org www.masstheory.org Август 2007 О ауторским правима: Дело

Више