ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати и

Величина: px
Почињати приказ од странице:

Download "ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати и"

Транскрипт

1 ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати истинитоносне таблице изведених логичких везника (НИ, НИЛИ, ЕИЛИ). 3. Навести бар jедан начин на коjи се ЕИЛИ везник може представити помоћу основних логичких везника (НЕ, И, ИЛИ). 4. Навести основне законе алгебре логике. 5. Због чега се алгебра логике користи као основа савремених рачунара? 6. Шта значи да су два логичка израза еквивалентна? 7. Дефинисати поjмове елементарне конjункциjе и дисjунктивне нормалне форме (ДНФ). Шта jе савршена елементарна конjункциjа, а шта савршена ДНФ? 8. Дефинисати поjмове елементарне дисjункциjе и конjунктивне нормалне форме (КНФ). Шта jе савршена елементарна дисjункциjа, а шта савршена КНФ? 9. Укратко описати поступак за свођење логичког израза на ДНФ. 10. Шта jе логичка функциjа и колико има логичких функциjа реда n? 11. Шта jе потпун систем везника? Навести бар три примера потпуних система логичких везника. 12. Изразити НЕ, И и ИЛИ везник помоћу НИ везника. 13. Укратко обjаснити како се произвољна логичка функциjа може изразити у облику израза у савршеноj дисjунктивноj нормалноj форми. 14. Шта jе минимизациjа логичких израза и због чега нам jе значаjна? 15. На примеру обjаснити метод алгебарских трансформациjа за минимизациjу логичких израза. 16. Обjаснити начин употребе Карноових мапа за минимизациjу логичких израза. Пример. 17. Обjаснити методу Квин-Мекласког за минимизациjу логичких израза. Пример. 18. Како се употребљаваjу Карноове мапе у присуству небитних вредности? Пример. 19. Како се метод Квин-Мекласког користи у присуству небитних вредности? Пример. 20. Шта jе Петриков метод и коjа jе његова улога у оквиру методе Квин-Мекласког? Навести пример. 21. Елементарна логичка кола (геjтови) и њихове шематске ознаке. 22. Нацртати симбол и обjаснити функциjу NMOS транзистора. 23. Нацртати симбол и обjаснити функциjу PMOS транзистора. 24. Имплементациjа НЕ кола у CMOS-у. 25. Имплементациjа НИ и И кола у CMOS-у. 26. Имплементациjа НИЛИ и ИЛИ кола у CMOS-у. 27. Имплементациjа ЕИЛИ кола у CMOS-у. 28. Пропусни транзистори и преносне капиjе. Функциjа и улога. 29. Шта jе бафер са три стања и чему служи?

2 30. Имплементациjа бафера са три стања у CMOS-у. 31. Шта jе вредност високе импедансе и коjа jе њена улога у логичким колима. 32. Шта jе комбинаторно коло? 33. Навести наjважниjе врсте основних комбинаторних кола. 34. Шта jе мултиплексор и коjа му jе основна функциjа? Представити графичким симболом и таблицом мултиплексор Нацртати логичко коло имплементациjе мултиплексора Како се мултиплексор употребљава за имплементациjу логичких функциjа? 37. Шта jе демултиплексор и коjа jе његова основна функциjа? Представити графичким симболом и таблицом демултиплексор Нацртати логичко коло имплементациjе демултиплексора Шта jе декодер и коjа jе његова основна функциjа? Представити графичким симболом и таблицом декодер Нацртати логичко коло имплементациjе декодера Шта jе кодер и где се обично користи? Шта jе кодер са приоритетом? 42. Нацртати логичко коло имплементациjе кодера Нацртати логичко коло имплементациjе кодера 4-2 са приоритетом. 44. Шта jе компаратор? Навести основне врсте компаратора. 45. Нацртати логичко коло 4-битног компаратора (за поређење на jеднакост). 46. Нацртати логичко коло 4-битног компаратора за потпуно поређење. 47. Нацртати логичко коло 8-битног померача. 48. Нацртати истинитосну таблицу и логичко коло бинарног полусабирача. 49. Нацртати истинитосну таблицу и логичко коло потпуног сабирача. 50. Вишебитни таласасти сабирач. Кашњење. 51. Нацртати истинитосну таблицу и логичко коло бинарног полуодузимача. 52. Нацртати истинитосну таблицу и логичко коло потпуног одузимача. 53. Вишебитни таласасти одузимач. Кашњење. 54. Обjаснити укратко принцип рада сабирача са рачунањем преноса унапред. 55. Шта код сабирача са рачунањем преноса унапред означаваjу вредности P i и G i и по коjим се формулама рачунаjу. 56. Навести формуле по коjима CLA jединица рачуна преносе C i као и групне P и G вредности. 57. Навести пример имплементациjе ALU jединице. 58. Шта jе програмибилни низ логичких елемената (PLA)? Навести пример. 59. Како се помоћу комбинаторних мрежа имплементира неизмењива мемориjа (ROM)? Пример таблице и одговараjуће имплементациjе. 60. Шта jе секвенциjално коло? По чему се секвенциjална кола разликуjу од комбинаторних кола. 61. Нацртати концептуални диjаграм секвенциjалног кола и обjаснити основни принцип рада. 62. Када кажемо да jе неко стање секвенциjалног кола стабилно? Шта jе нестабилност, а шта недетерминистичност? Шта jе метастабилност?

3 63. Шта jе функциjа (таблица) преласка стабилног секвенциjалног кола? Навести пример. 64. Обjаснити разлику између синхроних и асинхроних секвенциjалних кола. 65. Обjаснити улогу часовника. На коjи начин часовник омогућава синхронизациjу секвенциjалних кола? 66. Елементи циклуса часовника. Типови часовника. Фреквенциjа часовника. 67. Шта jе SR реза? Нацртати имплементациjу, таблицу преласка, логички симбол и обjаснити понашање. 68. Шта jе D реза? Нацртати имплементациjу, таблицу преласка, логички симбол и обjаснити понашање. 69. Коjа jе основна разлика између резе и флип-флопа? 70. Нацртати имплементациjу master-slave RS флип-флопа и обjаснити понашање. 71. Нацртати имплементациjу master-slave D флип-флопа и обjаснити понашање. 72. Нацртати имплементациjу master-slave JK флип-флопа и обjаснити понашање. 73. Нацртати имплементациjу master-slave T флип-флопа и обjаснити понашање. 74. Обjаснити проблем хватања jединице (1s catching problem) код master-slave RS и JK флип-флопова. На коjи начин се оваj проблем може решити? 75. Шта jе регистар и како се имплементира? Нацртати пример. 76. Статичка мемориjа. Пример реализациjе мемориjе На примеру обjаснити принцип конструкциjе већих мемориjа помоћу мањих. 78. Шта jе померачки регистар и где се обично користи? 79. Асинхрони бинарни броjач. Нацртати шему и обjаснити принцип рада. Коjи jе основни недостатак асинхроних броjача? 80. Синхрони бинарни броjач. Нацртати шему и обjаснити принцип рада. 81. Дизаjн броjача са произвољним редоследом стања. Пример. 82. Коначни аутомати и трансдуктори као модел синхроних секвенциjалних кола. Дизаjн коначних трансдуктора. Пример. 83. Путања података (datapath). Примери путање података са jедном, две и три магистрале. 84. Основне операциjе на путањи података. Примери. 85. Укратко обjаснити основни принцип дизаjна контролне jединице као коначног трансдуктора. 86. Навести пример описа неког алгоритма у форми коначног трансдуктора (само таблица преласка, без реализациjе самог трансдуктора).

4 ДРУГИ ДЕО ГРАДИВА 1. Шта jе архитектура, а шта организациjа рачунара? 2. Шта обухвата ISA (архитектура скупа инструкциjа)? 3. Шта су троадресни процесори? Пример инструкциjа и кода. Карактеристике. 4. Шта су двоадресни процесори? Пример инструкциjа и кода. Карактеристике. 5. Шта су jедноадресни процесори? Пример инструкциjа и кода. Карактеристике. 6. Шта су нулоадресни процесори? Пример инструкциjа и кода. Карактеристике. 7. Обjаснити однос перформанси процесора и броjа адреса. 8. Шта jе архитектура load/store? Обjаснити. 9. Карактеристике CISC архитектура. 10. Карактеристике RISC архитектура. 11. Обjаснити однос архитектура CISC и RISC. 12. Структура и формат машинске инструкциjе. 13. Врсте операнада машинске инструкциjе. 14. Обjаснити директно адресирање мемориjских операнада. 15. Обjаснити индиректно адресирање мемориjских операнада. 16. Обjаснити индексно адресирање мемориjских операнада. 17. Обjаснити релативно адресирање мемориjских операнада. 18. Обjаснити начине адресирања на x86-64 архитектури. 19. Инструкциjе трансфера. Функциjа и пример употребе. Пример: x86-64 архитектура. 20. Аритметичко-логичке инструкциjе. Функциjа и пример употребе. Пример: x86-64 архитектура. 21. Инструкциjе безусловног скока. Функциjа и пример употребе. Пример: x86-64 архитектура. 22. Флегови процесора (O, S, Z, C). Када се постављаjу и чему служе? 23. Инструкциjе поређења и њихова улога у реализациjи условних скокова. Пример: x86-64 архитектура. 24. Инструкциjе условног скока. Функциjа и пример употребе. Пример: x86-64 архитектура. 25. Коjу комбинациjу флегова тестира инструкциjа jl, а коjу jb на x86-64 архитектури? 26. Обjаснити позивање процедура и враћање из њих коришћењем стека за чување повратне адресе. Предности и мане. 27. Обjаснити позивање процедура и враћање из њих коришћењем регистра за чување повратне адресе. Предности и мане. 28. Обjаснити пренос аргумената процедуре коришћењем стека. Предности и мане. 29. Обjаснити пренос аргумената процедуре коришћењем регистара процесора. Предности и мане. 30. На коjи начин позвана функциjа може вратити вредност позиваjућоj функциjи? 31. Обjаснити позивање функциjа на x86-64 архитектури. Како се преноси адреса повратка, аргументи, као и повратна вредност?

5 32. Коjе су основне компоненте процесора? Обjаснити их. 33. Шта jе ALU jединица и чему служи? 34. Шта су регистри опште намене и чему служе? 35. Чему служи инструкциони регистар (IR)? 36. Чему служи програмски броjач (PC)? 37. Чему служи статусни регистар (PSW)? 38. Чему служи регистар мемориjских адреса (MAR)? 39. Чему служи регистар мемориjских података (MDR)? 40. Шта jе путања података (енгл. datapath) и из чега се састоjи? 41. Нацртати уопштену схему путање података са три интерне магистрале. Пример извршавања операциjе. 42. Нацртати уопштену схему путање података са две интерне магистрале. Пример извршавања операциjе. 43. Нацртати уопштену схему путање података са jедном интерном магистралом. Пример извршавања операциjе. 44. Шта jе контролна jединица? Шта jе улаз, а шта излаз из контролне jединице? 45. Описати основне фазе при извршавању инструкциjа процесора. 46. Обjаснити фазу дохватања инструкциjе. 47. Обjаснити фазу декодирања инструкциjе. 48. Обjаснити фазу извршавања инструкциjе. 49. На коjе начине се може реализовати контролна jединица? Поређење. 50. Обjаснити тврдо ожичену (хардверску) имплементациjу контролне jединице. 51. Обjаснити микропрограмску (софтверску) имплементациjу контролне jединице. 52. Шта jе микроинструкциjа? Структура микроинструкциjе. 53. Шта jе микропрограм? Обjаснити начин извршавања микропрограма. 54. Обjаснити хоризонтални формат микроинструкциjа процесора. 55. Обjаснити вертикални формат микроинструкциjа процесора. 56. Карактеристике мемориjа. 57. Навести могуће начине приступа мемориjи. 58. Обjаснити секвенциjалан приступ мемориjи. 59. Обjаснити директан приступ мемориjи. 60. Обjаснити произвољан приступ мемориjи. 61. Обjаснити асоциjативни приступ мемориjи. 62. Шта jе капацитет мемориjе и у коjим jединицама се изражава? 63. Какве мемориjе могу бити с обзиром на траjност (постоjаност) записа? Примери. 64. Какве мемориjе могу бити с обзиром на променљивост њиховог садржаjа? Примери. 65. Како се изражава брзина мемориjе? Коjи фактори наjвише утичу на брзину мемориjе. 66. Обjаснити хиjерархиjу мемориjа. 67. Шта jе ROM? Какве врсте постоjе?

6 68. Шта jе RАM? Какве врсте постоjе? 69. Шта jе статички RAM и коjе су његове основне карактеристике? 70. Шта jе динамички RAM и коjе су његове основне карактеристике? 71. Обjаснити технологиjу израде динамичке RAM мемориjе. 72. Шта jе SDRAM? Шта jе DDR SDRAM? 73. Шта су испреплетане мемориjе? Обjаснити. 74. Коjе врсте пресликавање мемориjских адреса разликуjемо? Обjаснити. 75. Обjаснити пуно пресликавање мемориjских адреса. 76. Обjаснити делимично пресликавање мемориjских адреса. 77. Обjаснити поравнавање података (мемориjа). 78. Навести основне врсте спољашњих мемориjа и навести њихове карактеристике. 79. Обjаснити намену и основни принцип рада кеша. 80. Обjаснити принцип локалности, шта jе просторна а шта временска локалност? Примери. 81. На коjи начин кеш користи принципе просторне и временске локалности? 82. Обjаснити читање кеша у случаjу поготка. 83. Обjаснити читање кеша у случаjу промашаjа. 84. Обjаснити писање кеша у случаjу промашаjа. 85. Обjаснити писање кеша у случаjу поготка. 86. Шта jе пресликавање адреса кеша и коjе врсте пресликавања постоjе? 87. Обjаснити непосредно пресликавање адреса кеша и дати пример. 88. Обjаснити асоциjативно пресликавање адреса кеша и дати пример. 89. Обjаснити скуп-асоциjативно пресликавање адреса кеша и дати пример. 90. Шта су и чему служе политике замењивања кеша? Наброjати их. 91. Обjаснити политику замењивања наjдуже некоришћене линиjе кеша (LRU). Добре и лоше стране. 92. Обjаснити политику замењивања псеудо-наjдуже некоришћене линиjе кеша (pseudo-lru). Добре и лоше стране. 93. Обjаснити FIFO политику замењивања линиjе кеша. 94. Коjе политике писања кеша постоjе и у чему се разликуjу? 95. Обjаснити политику писања кеша са пропуштањем (write-through). Добре и лоше стране. 96. Обjаснити политику писања кеша са преписивањем (write-back). Добре и лоше стране. 97. Раздвоjени и унификовани кеш. Поређење. 98. Обjаснити архитектуре вишестепеног кеша и начин њиховог функционисања. 99. Обjаснити однос величине кеша и перформанси Обjаснити однос величине линиjе кеша и перформанси Обjаснити однос асоциjативности и перформанси Шта jе магистрала и чему служи? 103. Како се остваруjе дељење магистрале? На коjи начин се спречава колизиjа

7 сигнала? Обjаснити Шта jе трансакциjа, а шта операциjа магистрале? Шта jе протокол магистрале? 105. Шта су сериjске, а шта паралелне магистрале? Поређење Коjа jе разлика између мултиплексираних и раздвоjених магистрала? Поређење Шта jе ширина магистрале? 108. Обjаснити и представити временским диjаграмом извршавање операциjе читања у случаjу синхроне магистрале Обjаснити и представити временским диjаграмом извршавање операциjе писања у случаjу синхроне магистрале Шта jе стање чекања? Када се и како употребљава? Обjаснити операциjу читања са стањем чекања Шта jе преношење блокова података? Када се и за шта употребљава? 112. Шта jе read-modify-write трансакциjа и за шта се употребљава? 113. Како се синхронизуjе рад на асинхроноj магистрали? Обjаснити сигнале и ток активности (четворофазно руковање) Предности и мане асинхроних магистрала у односу на синхроне Шта jе арбитража магистрале? Обjаснити разлику између централизоване и дистрибуиране арбитраже Наброjати и укратко обjаснити политике додељивања магистрале Навести и укратко обjаснити политике ослобађања магистрале Обjаснити детаљно механизам уланчавања код централизоване арбитраже Обjаснити детаљно механизам независних захтева код централизоване арбитраже Електричне карактеристике сериjских магистрала Навести наjчешће начине кодирања битова код сериjског преноса Коjа jе основна предност, а коjа мана NRZ кодирања у односу на RZ кодирање? 123. Обjаснити NRZI кодирање Укратко обjаснити 8b/10b кодирање? Коjи jе разлог за коришћење овог начина кодирања? 125. Навести наjчешће коришћене паралелне магистрале и њихове наjважниjе карактеристике Навести наjчешће коришћене сериjске магистрале и њихове наjважниjе карактеристике Шта jе систем прекида и коjа му jе улога? 128. Навести и укратко обjаснити врсте прекида Обjаснити хардверске прекиде. Шта су маскираjући, а шта немаскираjући прекиди? 130. Обjаснити софтверске прекиде. Коjа jе типична улога софтверских прекида? 131. Шта су изузеци (у контексту система прекида) и чему служе? 132. Шта jе вектор прекида? Шта jе дескриптор прекида? Где се налази табела дескриптора прекида? 133. Обjаснити детаљно начин позивања руковаоца прекидом у случаjу векторских прекида Обjаснити компоненте и рад контролора прекида PIC Шта су улазно/излазни уређаjи?

8 136. Шта су улазно/излазни контролери и коjа jе њихова функциjа? 137. Шта подразумева употреба У/И уређаjа путем мемориjског мапирања? 138. Шта подразумева употреба У/И уређаjа путем изолованог улаза и излаза? 139. Обjаснити технику програмираног У/И Обjаснити технику У/И вођеног прекидима Обjаснити директан приступ мемориjи (DMA). Контролер DMA. Кораци при реализациjи DMA приступа Шта jе виртуелна мемориjа и због чега се користи? 143. Обjаснити концепт страница виртуелне мемориjе. Шта су странице, а шта оквири страница? 144. Обjаснити прелискавање адреса виртуелне мемориjе. Пример Пресликавање адреса на више нивоа. Због чега се користи? Пример Шта су и када се користе политике замене страница? 147. Навести и укратко обjаснити наjчешће политике замене страница Обjаснити значаj величине странице виртуелне мемориjе и навести примере Шта су таблице, а шта директориjуми страница виртуелне мемориjе? Обjаснити Шта садрже ставке у таблици страница виртуелне мемориjе? Обjаснити Шта jе бафер таблице страница виртуелне мемориjе (TLB) и чему служи? 152. Обjаснити принцип преклапања инструкциjа у модерним процесорима Коjи су основни узроци заустављања покретне траке код преклапања инструкциjа у савременим процесорима? Коjе се технике користе за решавање оваквих проблема? 154. Обjаснити технику извршавања ван редоследа (out-of-order execution) Обjаснити технике предикциjе гранања (branch prediction) Шта су суперскаларни процесори? 157. Коjи су основни типови података у jезику Verilog? 158. Обjаснити разлику између жичаних и регистарских типова у jезику Verilog Шта предстаљаjу векторски типови, а шта низови у jезику Verilog? 160. Шта представља вредност z а шта вредност x у jезику Verilog? 161. На коjи начин се у jезику Verilog могу издваjати подсигнали из векторских сигнала, а на коjи начин се jедноставниjи сигнали могу груписати у сложениjе? 162. Шта су модули у jезику Verilog? Какви модули постоjе? На коjи начин се модули инстанцираjу? Пример Шта су портови у jезику Verilog? Коjе врсте портова постоjе и како се декларишу? Пример На коjи начин се може задавати кашњење приликом моделовања на нивоу геjтова у jезику Verilog? Пример Обjаснити наредбу assign у jезику Verilog? Задавање кашњења. Пример Коjе врсте процеса на нивоу моделовања понашања постоjе у jезику Verilog? 167. Описати синтаксу и семантику initial процеса у jезику Verilog. Пример Описати синтаксу и семантику always процеса у jезику Verilog. Примери.

9 169. На коjи начин се може контролисати извршавање always процеса у jезику Verilog? 170. Наредбе процедуралне доделе у jезику Verilog. Бликираjуће и неблокираjуће доделе. Примери На коjи начин се може задавати кашњење приликом извршавања наредби у оквиру процеса у jезику Verilog? 172. Наредбе гранања у jезику Verilog. Примери Дизаjн комбинаторних кола помоћу always процеса у jезику Verilog. Примери Дизаjн асинхроних секвенциjалних кола помоћу always процеса у jезику Verilog. Примери Дизаjн синхроних секвенциjалних кола помоћу always процеса у jезику Verilog. Примери.

Увод у организацију и архитектуру рачунара 1

Увод у организацију и архитектуру рачунара 1 Увод у организацију и архитектуру рачунара 2 Александар Картељ kartelj@matf.bg.ac.rs Напомена: садржај ових слајдова је преузет од проф. Саше Малкова Увод у организацију и архитектуру рачунара 2 1 Секвенцијалне

Више

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на след

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на след Испит из Основа рачунарске технике OO - / (...) Р е ш е њ е Задатак Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на следећој слици: S R Асинхрони RS флип флопреализован помоћу НИЛИ кола је

Више

Орт колоквијум

Орт колоквијум Испит из Основа рачунарске технике - / (6.6.. Р е ш е њ е Задатак Комбинациона мрежа има пет улаза, по два за број освојених сетова тенисера и један сигнал који одлучује ко је бољи уколико је резултат

Више

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећ

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећ Испит из Основа рачунарске технике OO - 27/2 (9.6.2.) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећој слици: S Q R Q Асинхрони RS флип флопреализован помоћу НИ

Више

LAB PRAKTIKUM OR1 _ETR_

LAB PRAKTIKUM OR1 _ETR_ UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA, TELEKOMUNIKACIJE I RAČUNARI PREDMET: OSNOVE RAČUNARSTVA 1 FOND ČASOVA: 2+1+1 LABORATORIJSKA VJEŽBA BROJ 1 NAZIV: REALIZACIJA

Више

Algoritmi i arhitekture DSP I

Algoritmi i arhitekture DSP I Univerzitet u Novom Sadu Fakultet Tehničkih Nauka Katedra za računarsku tehniku i međuračunarske komunikacije Algoritmi i arhitekture DSP I INTERNA ORGANIACIJA DIGITALNOG PROCESORA A OBRADU SIGNALA INTERNA

Више

_sheets.dvi

_sheets.dvi Zavod za elektroniku, mikroelektroniku, 28. studenog 2008. računalne i inteligentne sustave 2. me duispit iz Arhitekture računala 2, teorijski dio 1. Koja komponenta modernih računala nije bila prisutnau

Више

Zbirka resenih zadataka iz arhitekture racunara

Zbirka resenih zadataka iz arhitekture racunara Ј. ЂОРЂЕВИЋ, З. РАДИВОЈЕВИЋ, М. ПУНТ, Б. НИКОЛИЋ, Д. МИЛИЋЕВ, Ј. ПРОТИЋ, А. МИЛЕНКОВИЋ АРХИТЕКТУРА И ОРГАНИЗАЦИЈА РАЧУНАРА ПРЕКИДИ, МАГИСТРАЛА И УЛАЗ/ИЗЛАЗ ЗБИРКА РЕШЕНИХ ЗАДАТАКА Београд 2013. i САДРЖАЈ

Више

Microsoft Word - SIORT1_2019_K1_resenje.docx

Microsoft Word - SIORT1_2019_K1_resenje.docx I колоквијум из Основа рачунарске технике I СИ- 208/209 (24.03.209.) Р е ш е њ е Задатак f(x, x 2, x 3 ) = (x + x x ) x (x x 2 + x ) + x x 2 x 3 f(x, x 2, x 3 ) = (x + x x ) (x x + (x )) 2 + x + x x 2

Више

УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке Писмени део испита из предмета Увод у рачунарство 1. [7 пое

УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке Писмени део испита из предмета Увод у рачунарство 1. [7 пое УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке 30.06.2018. Писмени део испита из предмета Увод у рачунарство 1. [7 поена] Методом МакКласкија минимизарити систем прекидачких

Више

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x I колоквијум из Основа рачунарске технике I СИ- / (...) Р е ш е њ е Задатак Тачка А Потребно је прво пронаћи вредности функција f(x, x, x ) и g(x, x, x ) на свим векторима. f(x, x, x ) = x x + x x + x

Више

Edukacioni racunarski sistem

Edukacioni racunarski sistem ТРАНСЛАЦИЈА ИНСТРУКЦИЈА 1. Разматра се рачунарски систем у коме се извршавање одређене инструкције одвија у 6 фаза помоћу измењеног процесора са стандардном проточном обрадом (слика 1.). У процесор са

Више

Logicko projektovanje racunarskih sistema I

Logicko projektovanje racunarskih sistema I POKAZNA VEŽBA 10 Strukture za računanje Potrebno predznanje Urađena pokazna vežba 8 Poznavanje aritmetičkih digitalnih sistema i aritmetičko-logičkih jedinica Osnovno znanje upravljačkih jedinica digitalnih

Више

Орт колоквијум

Орт колоквијум II колоквијум из Основа рачунарске технике I - 27/28 (.6.28.) Р е ш е њ е Задатак На улазе x, x 2, x 3, x 4 комбинационе мреже, са излазом z, долази четворобитни BCD број. Ако број са улаза при дељењу

Више

Архитектура и организациjа рачунара Милан Банковић 10. април 2019.

Архитектура и организациjа рачунара Милан Банковић 10. април 2019. Архитектура и организациjа рачунара Милан Банковић 10. април 2019. 2 Садржаj I Основи дигиталне логике 5 1 Логичке функциjе и логички изрази 7 1.1 Булова алгебра............................ 7 1.1.1 Аксиоме

Више

OPIS RAČUNARSKOG SISTEMA Računarski sistem se sastoji od procesora, operativne memorije, tajmera i terminala. Sve komponente računarskog sistema su me

OPIS RAČUNARSKOG SISTEMA Računarski sistem se sastoji od procesora, operativne memorije, tajmera i terminala. Sve komponente računarskog sistema su me OPIS RAČUNARSKOG SISTEMA Računarski sistem se sastoji od procesora, operativne memorije, tajmera i terminala. Sve komponente računarskog sistema su međusobno povezane preko sistemske magistrale. Tajmer

Више

P11.3 Analiza zivotnog veka, Graf smetnji

P11.3 Analiza zivotnog veka, Graf smetnji Поједностављени поглед на задњи део компајлера Међурепрезентација (Међујезик IR) Избор инструкција Додела ресурса Распоређивање инструкција Инструкције циљне архитектуре 1 Поједностављени поглед на задњи

Више

Microsoft Word - 11 Pokazivaci

Microsoft Word - 11 Pokazivaci Pokazivači U dosadašnjem radu smo imali prilike da koristimo promenljive koje smo deklarisali na početku nekog bloka. Prilikom deklaracije promenljiva dobija jedinstveni naziv i odgovarajući prostor u

Више

Р273 Пројектовање база података Примери питања за колоквијум 1. Навести најважније моделе података кроз историју рачунарства до данас. 2. Објаснити ос

Р273 Пројектовање база података Примери питања за колоквијум 1. Навести најважније моделе података кроз историју рачунарства до данас. 2. Објаснити ос Р273 Пројектовање база података Примери питања за колоквијум 1. Навести најважније моделе података кроз историју рачунарства до данас. 2. Објаснити основне концепте мрежног модела 3. Објаснити основне

Више

Microsoft PowerPoint - Programski_Jezik_C_Organizacija_Izvornog_Programa_I_Greske [Compatibility Mode]

Microsoft PowerPoint - Programski_Jezik_C_Organizacija_Izvornog_Programa_I_Greske [Compatibility Mode] Programski jezik C organizacija izvornog programa Prevođenje Pisanje programa izvorni program Prevođenje programa izvršni program Izvršavanje programa rezultat Faze prevođenja Pretprocesiranje Kompilacija

Више

Vezbe_AOR1_2014_V1.0

Vezbe_AOR1_2014_V1.0 АРХИТЕКТУРА И ОРГАНИЗАЦИЈА РАЧУНАРА 1 Верзија 2014 1.0 САДРЖАЈ Садржај... 3 Кеш меморија (Cache Memory)... 5 Задатак 1.... 5 Задатак 2.... 6 Задатак 3.... 9 Задатак 4.... 12 Задатак 5.... 15 Задатак 6....

Више

Pojačavači

Pojačavači Programiranje u fizici Prirodno-matematički fakultet u Nišu Departman za fiziku dr Dejan S. Aleksić Programiranje u fizici dr Dejan S. Aleksić, vanredni profesor Kabinet 307 (treći sprat), lab. za elektroniku

Више

Р220 - Увод у архитектуру рачунара Саша Малков [Р220] Увод у архитектуру рачунара 10 Саша Малков Универзитет у Београду Математички факултет 2013/2014

Р220 - Увод у архитектуру рачунара Саша Малков [Р220] Увод у архитектуру рачунара 10 Саша Малков Универзитет у Београду Математички факултет 2013/2014 [Р220] Увод у архитектуру рачунара 10 Универзитет у Београду Математички факултет 2013/2014 [Р271] Увод у архитектуру рачунара Тема 11 Улазно / излазни уређаји (наставак) [Р220] Увод у архитектуру рачунара

Више

Dijagrami sekvenci

Dijagrami sekvenci Dijagrami sekvenci Izrada dijagrama sekvenci Dijagram sekvenci koristi se za specifikaciju vremenskih zahteva u opisu složenih scenarija opis toka poruka između objekata kojima se realizuje odgovarajuća

Више

Inženjering informacionih sistema

Inženjering informacionih sistema Fakultet tehničkih nauka, Novi Sad Inženjering informacionih sistema Dr Ivan Luković Dr Slavica Kordić Nikola Obrenović Milanka Bjelica Dr Jelena Borocki Dr Milan Delić UML UML (Unified Modeling Language)

Више

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode]

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode] ij Cilj: Dobiti što više informacija o ponašanju digitalnih kola za što kraće vreme. Metod: - Detaljni talasni oblik signala prikazati samo na nivou logičkih stanja. - Simulirati ponašanje kola samo u

Више

zad_6_2.doc

zad_6_2.doc .. S- i S- komunikacioni standardi Zadatak. Pomoću MX i čipa, potrebno je realizovati konvertor S- na S-. MX ima raspored pinova kao na slici..,0μf +V +V ULZ V CC T IN T IN OUT IN T OUT 0 9 OUT IN T OUT

Више

Državno natjecanje / Osnove informatike Srednje škole Zadaci U sljedećim pitanjima na odgovore odgovaraš upisivanjem slova koji se nalazi ispred

Državno natjecanje / Osnove informatike Srednje škole Zadaci U sljedećim pitanjima na odgovore odgovaraš upisivanjem slova koji se nalazi ispred Zadaci. 8. U sljedećim pitanjima na odgovore odgovaraš upisivanjem slova koji se nalazi ispred točnog odgovora, u za to predviđen prostor. Odgovor Ako želimo stvoriti i pohraniti sliku, ali tako da promjenom

Више

P1.2 Projektovanje asemblera

P1.2 Projektovanje asemblera ПРОЈЕКТОВАЊЕ АСЕМБЛЕРА Асемблер Модули асемблера 1 Дефинисање новог лингвистичког нивоа превођењем Потребан преводилац алат који преводи програм написан на једном језику (на једном лингвистичком нивоу)

Више

Projektovanje digitalnih sistema

Projektovanje digitalnih sistema Projektovanje digitalnih sistema Metodologije dizajna Metodologije dizajna Odozgo ka dolje (top-down) Definiše se blok najvišeg nivoa i identifikuju se manji blokovi neophodni za njegovu implementaciju

Више

Vektorski procesori Najveći izvor paralelizma su DoAll petlje kako je već definisano u poglavlju o paralelizaciji petlji. Paralelizam tih petlji, ako

Vektorski procesori Najveći izvor paralelizma su DoAll petlje kako je već definisano u poglavlju o paralelizaciji petlji. Paralelizam tih petlji, ako Vektorski procesori Najveći izvor paralelizma su DoAll petlje kako je već definisano u poglavlju o paralelizaciji petlji. Paralelizam tih petlji, ako se razmatraju samo zavisnosti po podacima, je srazmeran

Више

PROMENLJIVE, TIPOVI PROMENLJIVIH

PROMENLJIVE, TIPOVI PROMENLJIVIH PROMENLJIVE, TIPOVI PROMENLJIVIH Šta je promenljiva? To je objekat jezika koji ima ime i kome se mogu dodeljivati vrednosti. Svakoj promenljivoj se dodeljuje registar (memorijska lokacija) operativne memorije

Више

Funkcije predavač: Nadežda Jakšić

Funkcije predavač: Nadežda Jakšić Funkcije predavač: Nadežda Jakšić funkcije delovi programa koji izvršavaju neki zadatak, celinu; dele na ugrađene, korisničke i main funkciju ugrađene funkcije printf,scanf... da bi se one izvršile potrebno

Више

Nastavna cjelina: 1. Jezik računala Kataloška tema: 1.1. Bit 1.2. Brojevi zapisani četvorkom bitova Nastavna jedinica: 1.1. Bit   1.2. Brojevi zapisan

Nastavna cjelina: 1. Jezik računala Kataloška tema: 1.1. Bit 1.2. Brojevi zapisani četvorkom bitova Nastavna jedinica: 1.1. Bit   1.2. Brojevi zapisan Nastavna cjelina: 1. Osnove IKT-a Kataloška tema: 1.6. Paralelni i slijedni ulazno-izlazni pristupi računala 1.7. Svojstva računala Unutar računala podatci su prikazani električnim digitalnim signalima

Више

Drugi kolokvij iz predmeta Operacijski sustavi 2. srpnja Napomene: PISATI ČITKO! Zadatke 7-10 rješavati na ovom papiru ili uz njih napisati "na

Drugi kolokvij iz predmeta Operacijski sustavi 2. srpnja Napomene: PISATI ČITKO! Zadatke 7-10 rješavati na ovom papiru ili uz njih napisati na Drugi kolokvij iz predmeta Operacijski sustavi 2. srpnja 2019. Napomene: PISATI ČITKO! Zadatke 7-10 rješavati na ovom papiru ili uz njih napisati "na papirima". 1. (2) Opisati pristupni sklop za izravni

Више

Орт колоквијум

Орт колоквијум Задатак 1 I колоквијум из Основа рачунарске технике I - надокнада - 008/009 (16.05.009.) Р е ш е њ е a) Пошто постоје вектори на којима се функција f не јавља и вектори на којима има вредност један, лако

Више

Microsoft PowerPoint - GR_MbIS_12_IDEF

Microsoft PowerPoint - GR_MbIS_12_IDEF Menadžment poslovnih informacionih sistema - 12 metode modeliranja funkcija pripremila Doc. dr Gordana Radić Integfated DEFinition Definicija: je metoda (jezik) modeliranja bazirana je na kombinaciji grafike

Више

PowerPoint Presentation

PowerPoint Presentation Prof. dr Pere Tumbas Prof. dr Predrag Matkovid Identifikacija i izbor projekata Održavanje sistema Inicijalizacija projekata i planiranje Implementacija sistema Dizajn sistema Analiza sistema Faze životnog

Више

Microsoft PowerPoint - 1.DE.RI3g.09.Uvod

Microsoft PowerPoint - 1.DE.RI3g.09.Uvod Дејан Јокић Миломир Шоја Предмет: ДИГИТАЛНА ЕЛЕКТРОНИКА Број кредита: 6 Седмично часова: 2+2+12+1 (П+АВ+ЛВ) Укупно часова: 30+45 Пун назив ДИГИТАЛНА ЕЛЕКТРОНИКА Скраћени назив Статус Семестар ЕСПБ Фонд

Више

Microsoft PowerPoint - Topic04-Serbian.ppt

Microsoft PowerPoint - Topic04-Serbian.ppt Tema 4 Osnovni koncepti za opis razvoja softvera DAAD Project Joint Course on Software Engineering Humboldt University Berlin, University of Novi Sad, University of Plovdiv, University of Skopje, University

Више

ELEKTRONIKA

ELEKTRONIKA МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА РЕПУБЛИКЕ СРБИЈЕ ЗАЈЕДНИЦА ЕЛЕКТРОТЕХНИЧКИХ ШКОЛА РЕПУБЛИКЕ СРБИЈЕ ДВАДЕСЕТ ДРУГО РЕГИОНАЛНО ТАКМИЧЕЊЕ ЗАДАЦИ ИЗ ЕЛЕКТРОНИКЕ ЗА УЧЕНИКЕ ТРЕЋЕГ РАЗРЕДА

Више

Орт колоквијум

Орт колоквијум I колоквијум из Основа рачунарске технике I - надокнада СИ - 008/009 (10.05.009.) Р е ш е њ е Задатак 1 a) Пошто постоје вектори на којима се функција f не јавља и вектори на којима има вредност један,

Више

13E114PAR, 13S113PAR DOMAĆI ZADATAK 2018/2019. Cilj domaćeg zadatka je formiranje petlje softverske protočnosti za minimalni broj ciklusa.

13E114PAR, 13S113PAR DOMAĆI ZADATAK 2018/2019. Cilj domaćeg zadatka je formiranje petlje softverske protočnosti za minimalni broj ciklusa. 13E114PAR, 13S113PAR 29.04.2019. DOMAĆI ZADATAK 2018/2019. Cilj domaćeg zadatka je formiranje petlje softverske protočnosti za minimalni broj ciklusa. U okviru svake grupe data je doall ili doacross petlja

Више

Pred_PLS_2

Pred_PLS_2 Sinteza logičkih kola Vanr.prof.dr.Lejla Banjanović- Mehmedović Sadržaj izlaganja Procedura projektovanja logičkih kola Osnovni elementi u projektovanju logičkih kola Primjeri sinteze logičkih kola Koraci

Више

Microsoft PowerPoint - 03-Slozenost [Compatibility Mode]

Microsoft PowerPoint - 03-Slozenost [Compatibility Mode] Сложеност алгоритама (Програмирање 2, глава 3, глава 4-4.3) Проблем: класа задатака истог типа Велики број различитих (коректних) алгоритама Величина (димензија) проблема нпр. количина података које треба

Више

Повезивање са интернетом

Повезивање са интернетом Драгана Стопић Интернет Интернет је најпознатија и највећа светска мрежа која повезује рачунаре и рачунарске мреже у једну мрежу, у циљу сарадње и преноса информација употребом заједничких стандарда. INTERnational

Више

Logičke izjave i logičke funkcije

Logičke izjave i logičke funkcije Logičke izjave i logičke funkcije Građa računala, prijenos podataka u računalu Što su logičke izjave? Logička izjava je tvrdnja koja može biti istinita (True) ili lažna (False). Ako je u logičkoj izjavi

Више

Microsoft PowerPoint - Bitovi [Compatibility Mode]

Microsoft PowerPoint - Bitovi [Compatibility Mode] Оператори над битовима (Јаничић, Марић: Програмирање 2, тачка 5.6) Оператори за рад са појединачним битовима Само на целобројне аргументе: ~ битовска негација & битовска конјункција (и) битовска (инклузивна)

Више

Microsoft PowerPoint - Timer0 16F887.ppt [Compatibility Mode]

Microsoft PowerPoint - Timer0 16F887.ppt [Compatibility Mode] TAJMERI I BROJAČI: PIC16F887 PIC 16F887 mikrokontroler ima tri tajmera/brojača: 8 - bitni tajmer/brojač (registar TMR0) 16 - bitni tajmer/brojač (registar TMR1H TMR1L) 8 - bitni tajmer/brojač (registar

Више

P2.1 Projektovanje paralelnih algoritama 1

P2.1 Projektovanje paralelnih algoritama 1 Projektovanje paralelnih algoritama I Uvod Osnove dinamičke paralelizacije 1 Primer: Fibonačijev niz Primer rekurz. računanja Fibonačijevih brojeva: F 0 = 0; F 1 = 1; F i = F i -1 + F i -2 za i 2 Algoritam

Више

Skripte2013

Skripte2013 Chapter 2 Algebarske strukture Preslikivanje f : A n! A se naziva n-arna operacija na skupu A Ako je n =2, kažemo da je f : A A! A binarna operacija na A Kažemo da je operacija f arnosti n, u oznaci ar

Више

Техничко решење: Метода мерења реактивне снаге у сложенопериодичном режиму Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аут

Техничко решење: Метода мерења реактивне снаге у сложенопериодичном режиму Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аут Техничко решење: Метода мерења реактивне снаге у сложенопериодичном режиму Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аутори: Иван Жупунски, Небојша Пјевалица, Марјан Урекар,

Више

Generated by Foxit PDF Creator Foxit Software For evaluation only. Operativni sistem U računarstvu, operativni sistem (OS

Generated by Foxit PDF Creator Foxit Software   For evaluation only. Operativni sistem U računarstvu, operativni sistem (OS Operativni sistem U računarstvu, operativni sistem (OS) je kompleksan programski sistem koji kontroliše i upravlja uređajima i računarskim komponentama i obavljanje osnovne sistemske radnje. Operativni

Више

AR2019

AR2019 ARHITEKTURA RAČUNARA (pregled principa i evolucije) Miroslav Hajduković Žarko Živanov NOVI SAD, 2019. PREDGOVOR Cilj ove knjige je da stvori funkcionalno zaokruženu sliku o radu računara. Zbog toga je

Више

Microsoft PowerPoint - 12a PEK EMT VHDL 1 od 4 - Uvod (2011).ppt [Compatibility Mode]

Microsoft PowerPoint - 12a PEK EMT VHDL 1 od 4 - Uvod (2011).ppt [Compatibility Mode] VHDL jezik za opis hardvera VHDL jezik za opis hardvera VHDL jezik za opis hardvera Prof. Dr Predrag Petković Dr Miljana Milić Sadržaj 1. Šta je VHDL? 2. Opis hardvera 3. Signali 4. Osnove VHDL pravopisa

Више

Microsoft PowerPoint - OOPpredavanja05 [Compatibility Mode]

Microsoft PowerPoint - OOPpredavanja05 [Compatibility Mode] OBJEKTNO ORIJENTISANO PROGRAMIRANJE PREDAVANJE 5 OBJEKTI U INTERAKCIJI Miloš Kovačević Đorđe Nedeljković 1 /25 OSNOVNI KONCEPTI - Abstrakcija - Modularizacija - Objektne reference - Klasni dijagram - Objektni

Више

23. siječnja od 13:00 do 14:00 Školsko natjecanje / Osnove informatike Srednje škole RJEŠENJA ZADATAKA S OBJAŠNJENJIMA Sponzori Medijski pokrovi

23. siječnja od 13:00 do 14:00 Školsko natjecanje / Osnove informatike Srednje škole RJEŠENJA ZADATAKA S OBJAŠNJENJIMA Sponzori Medijski pokrovi 3. siječnja 0. od 3:00 do 4:00 RJEŠENJA ZADATAKA S OBJAŠNJENJIMA Sponzori Medijski pokrovitelji Sadržaj Zadaci. 4.... Zadaci 5. 0.... 3 od 8 Zadaci. 4. U sljedećim pitanjima na pitanja odgovaraš upisivanjem

Више

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011)

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011) РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11000 Београд, Мике Аласа 14, ПП: 34, ПАК: 105 305 телефон: (011) 32-82-736, телефакс: (011) 21-81-668 На основу члана 192. став

Више

UNIVERZITET UKSHIN HOTI PRIZREN FAKULTET RAČUNARSKIH NAUKA PROGRAM: TIT - BOS NASTAVNI PLAN-PROGRAM SYLLABUS Nivo studija Bachelor Program TIT-Bos Aka

UNIVERZITET UKSHIN HOTI PRIZREN FAKULTET RAČUNARSKIH NAUKA PROGRAM: TIT - BOS NASTAVNI PLAN-PROGRAM SYLLABUS Nivo studija Bachelor Program TIT-Bos Aka UNIVERZITET UKSHIN HOTI PRIZREN FAKULTET RAČUNARSKIH NAUKA PROGRAM: TIT - BOS NASTAVNI PLAN-PROGRAM SYLLABUS Nivo studija Bachelor Program TIT-Bos Akademska godina 018/019 PREDMET Godina studija I Status

Више

P9.1 Dodela resursa, Bojenje grafa

P9.1 Dodela resursa, Bojenje grafa Фаза доделе ресурса Ова фаза се у литератури назива и фазом доделе регистара, при чему се под регистрима подразумева скуп ресурса истог типа. Додела регистара променљивама из графа сметњи се обавља тзв.

Више

Test ispravio: (1) (2) Ukupan broj bodova: 21. veljače od 13:00 do 14:00 Županijsko natjecanje / Osnove informatike Osnovne škole Ime i prezime

Test ispravio: (1) (2) Ukupan broj bodova: 21. veljače od 13:00 do 14:00 Županijsko natjecanje / Osnove informatike Osnovne škole Ime i prezime Test ispravio: () () Ukupan broj bodova:. veljače 04. od 3:00 do 4:00 Ime i prezime Razred Škola Županija Mentor Sadržaj Upute za natjecatelje... Zadaci... Upute za natjecatelje Vrijeme pisanja: 60 minuta

Више

Microsoft Word - Projekat iz MIPS-a - simCPU.doc

Microsoft Word - Projekat iz MIPS-a - simCPU.doc UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET KATEDRA ZA ELEKTRONIKU MIKROPROCESORSKI SISTEMI simcpu Mentor Prof. dr. Mile Stojčev Marko Ilić 9921 Nebojša Pejčić 9738 Aleksandar Stojadinović 10085 Bojan Janićijević

Више

untitled

untitled I SADRŽAJ PREDGOVOR... 1 UVODNA RAZMATRANJA... 3 I GEOGRAFSKI INFORMACIONI SISTEMI (GIS)... 5 1. Lokacija... 5 2. Prostorna lokacija... 6 2.1. Koordinatni sistemi... 6 2.1.1. Kartezijanski koordinatni

Више

Рачунарска интелигенција

Рачунарска интелигенција Рачунарска интелигенција Генетско програмирање Александар Картељ kartelj@matf.bg.ac.rs Ови слајдови представљају прилагођење слајдова: A.E. Eiben, J.E. Smith, Introduction to Evolutionary computing: Genetic

Више

Microsoft PowerPoint - PRI2014 KORIGOVANO [Compatibility Mode]

Microsoft PowerPoint - PRI2014 KORIGOVANO [Compatibility Mode] 1. Broj bitova koji se jednovremeno prenosi i obrađuje unutar procesora naziva se: a) radni takt b) procesorski kod c) procesorska reč d) procesorski takt 1. Broj bitova koji se jednovremeno prenosi i

Више

Prezentacija 1. i 2. cas

Prezentacija 1. i 2. cas Uvod Osnovi informatike Nastavnik: Doc. dr Milutin Radonjić Saradnik: mr Boris Marković Fond časova: 2P+1V Nakon svakog predavanja studenti mogu dobiti slajdove Obaveze studenta u toku nastave: pohađa

Више

Slide 1

Slide 1 OSNOVNI POJMOVI Naredba je uputa računalu za obavljanje određene radnje. Program je niz naredbi razumljivih računalu koje rješavaju neki problem. Pisanje programa zovemo programiranje. Programski jezik

Више

UNIVERZITET U NOVOM SADU TEHNIČKI FAKULTET MIHAJLO PUPIN ZRENJANIN TEHNOLOGIJE DISTRIBUIRANIH INFORMACIONIH SISTEMA - Skripta za teorijski deo (RADNA

UNIVERZITET U NOVOM SADU TEHNIČKI FAKULTET MIHAJLO PUPIN ZRENJANIN TEHNOLOGIJE DISTRIBUIRANIH INFORMACIONIH SISTEMA - Skripta za teorijski deo (RADNA UNIVERZITET U NOVOM SADU TEHNIČKI FAKULTET MIHAJLO PUPIN ZRENJANIN TEHNOLOGIJE DISTRIBUIRANIH INFORMACIONIH SISTEMA - Skripta za teorijski deo (RADNA VERZIJA) Autori: Ljubica Kazi Biljana Radulovic Dalibor

Више

Slide 1

Slide 1 Катедра за управљање системима ТЕОРИЈА СИСТЕМА Предавањe 2: Основни појмови - систем, модел система, улаз и излаз UNIVERSITY OF BELGRADE FACULTY OF ORGANIZATIONAL SCIENCES План предавања 2018/2019. 1.

Више

POSLOVNI INFORMACIONI SISTEMI I RA^UNARSKE

POSLOVNI INFORMACIONI SISTEMI  I RA^UNARSKE ZNAČAJ RAČUNARSKIH KOMUNIKACIJA U BANKARSKOM POSLOVANJU RAČUNARSKE MREŽE Računarske mreže su nastale kombinacijom računara i telekomunikacija dve tehnologije sa veoma različitom tradicijom i istorijom.

Више

JEZGRO OPERATIVNOG SISTEMA I UPRAVLJANJE PROCESIMA 1. Šta je jezgro, a šta proces? Jezgro (nukleus ili kernel) je osnovni deo svakog operativnog siste

JEZGRO OPERATIVNOG SISTEMA I UPRAVLJANJE PROCESIMA 1. Šta je jezgro, a šta proces? Jezgro (nukleus ili kernel) je osnovni deo svakog operativnog siste JEZGRO OPERATIVNOG SISTEMA I UPRAVLJANJE PROCESIMA 1. Šta je jezgro, a šta proces? Jezgro (nukleus ili kernel) je osnovni deo svakog operativnog sistema. U hijerarhijskom (slojevitom) modelu, jezgro je

Више

Microsoft Word - CAD sistemi

Microsoft Word - CAD sistemi U opštem slučaju, se mogu podeliti na 2D i 3D. 2D Prvo pojavljivanje 2D CAD sistema se dogodilo pre više od 30 godina. Do tada su inženjeri koristili table za crtanje (kulman), a zajednički jezik komuniciranja

Више

Zadatak T=5: Jedinica WBSD propušta vred Potiče iz polja Rwb.LMD Signal Rwb.WRLMD izaziva propuštanje ove vrednosti 2. Vrednost 0000

Zadatak T=5: Jedinica WBSD propušta vred Potiče iz polja Rwb.LMD Signal Rwb.WRLMD izaziva propuštanje ove vrednosti 2. Vrednost 0000 Zadatak 2.1 1. T=5: Jedinica WBSD propušta vred 0000 0003 Potiče iz polja Rwb.LMD Signal Rwb.WRLMD izaziva propuštanje ove vrednosti 2. Vrednost 0000 0003 koja je pročitana u taktu 5 (prethodno pitanje)

Више

IV Spekulativno I predikatsko izvršavanje

IV Spekulativno I predikatsko izvršavanje Instrukcijski nivo paralelizma 42 Spekulativno izvršavanje 4. Spekulativno izvršavanje Pod spekulativnim izvršanjem podrazumevamo izvršavanje operacije, koja možda nije uopšte morala da se izvrši. Pritom,

Више

ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera

ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera CILJ VEŽBE Cilj ove vežbe je da se studenti kreiranjem

Више

Microsoft PowerPoint - 13 PIK (Mentor Graphic ASIC).ppt

Microsoft PowerPoint - 13 PIK (Mentor Graphic ASIC).ppt Projektovanje integrisanih kola Delimično projektovanje po narudžbini Sadržaj: Sadržaj: I. I. Uvod Uvod - sistem projektovanja II. II. CMOS Analiza Proces kola primenom računara III. III. Potpuno Optimizacija

Више

Kombinatorno testiranje

Kombinatorno testiranje Kombinatorno testiranje Uvod Na ponašanje aplikacije utiče puno faktora, npr. ulazne vrednosti, konfiguracije okruženja. Tehnike kao što je podela na klase ekvivalencije ili analiza graničnih vrednosti

Више

Рјешавање проблема потрошње у чиповима Александар Пајкановић Факултет техничких наука Универзитет у Новом Саду Фабрика чипова у Србији: има ли интерес

Рјешавање проблема потрошње у чиповима Александар Пајкановић Факултет техничких наука Универзитет у Новом Саду Фабрика чипова у Србији: има ли интерес Рјешавање проблема потрошње у чиповима Александар Пајкановић Факултет техничких наука Универзитет у Новом Саду Фабрика чипова у Србији: има ли интереса и кадрова? Петница, 28.-29. јун 2013. Садржај Увод

Више

Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР Школска 2018 /2019. Назив предмета: Информатика и рачунарство Разред: 5. Недељни број часова

Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР Школска 2018 /2019. Назив предмета: Информатика и рачунарство Разред: 5. Недељни број часова Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР јединице 1. 1. Увод у информатику и рачунарство 1. 2. Oрганизација података на рачунару 1. 3. Рад са текстуалним документима 1. 4. Форматирање

Више

Tutoring System for Distance Learning of Java Programming Language

Tutoring System for Distance Learning of Java Programming Language Deklaracija promenljivih Inicijalizacija promenljivih Deklaracija promenljive obuhvata: dodelu simboličkog imena promenljivoj i određivanje tipa promenljive (tip određuje koja će vrsta memorijskog registra

Више

Microsoft PowerPoint - C-4-1

Microsoft PowerPoint - C-4-1 Pregled iskaza u C-u Izraz; Iskaz dodele, serijski komponovani iskaz; blok Uslovni iskazi i izrazi; složeno grananje Iterativni iskazi Iskaz dodele Promena vrednosti a = Ψ; Izračunava vrednost izraza Ψ,

Више

M e h a n i k a 1 v e ž b e 4 / 2 9 Primer 3.5 Za prostu gredu prikazanu na slici odrediti otpore oslonaca i nacrtati osnovne statičke dijagrame. Pozn

M e h a n i k a 1 v e ž b e 4 / 2 9 Primer 3.5 Za prostu gredu prikazanu na slici odrediti otpore oslonaca i nacrtati osnovne statičke dijagrame. Pozn M e h a n i k a 1 v e ž b e 4 / 9 Primer 3.5 Za prostu gredu prikazanu na slici odrediti otpore oslonaca i nacrtati osnovne statičke dijagrame. Poznata su opterećenja F 1 = kn, F = 1kN, M 1 = knm, q =

Више

ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ

ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ Универзитет у Београду, Електротехнички факултет, Катедра за енергетске претвараче и погоне ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ (3Е3ЕНТ) Јул 9. Трофазни уљни енергетски трансформатор са номиналним подацима: 4 V,

Више

Programski jezik QBasic Kriteriji ocjenjivanja programiranje(b) - QBasic razred 42

Programski jezik QBasic Kriteriji ocjenjivanja programiranje(b) - QBasic razred 42 Kriteriji ocjenjivanja programiranje(b) - QBasic 5. - 8. razred 42 5. RAZRED - prisjeća sa pojmova: algoritam, algoritma slijeda i grananja, dijagrama toka, te ulaznih i izlaznih jedinica, ne shvaća njihovo

Више

Programski jezik QBasic Kriteriji ocjenjivanja programiranje(b) - QBasic razred 42

Programski jezik QBasic Kriteriji ocjenjivanja programiranje(b) - QBasic razred 42 Kriteriji ocjenjivanja programiranje(b) - QBasic 5. - 8. razred 42 5. RAZRED - prisjeća sa pojmova: algoritam, algoritma slijeda i grananja, dijagrama toka, te ulaznih i izlaznih jedinica, ne shvaća njihovo

Више

Precesor Intel 8086

Precesor Intel 8086 Precesor Intel 8086 Uvod Procesor 8086 jedan je od najvažnijih u istoriji računarstva, rodonačelnik Intelove 80x86 familije kojoj pripadaju: 8086, 80286, 80386, 80486, Pentium, Pentium II itd. Familija

Више

kriteriji ocjenjivanja - informatika 8

kriteriji ocjenjivanja - informatika 8 8. razred Nastavne cjeline: 1. Osnove informatike 2. Pohranjivanje multimedijalnih sadržaja, obrada zvuka 3. Baze podataka - MS Access 4. Izrada prezentacije 5. Timska izrada web stranice 6. Kritički odnos

Више

Microsoft PowerPoint - Programski_Jezik_C_Organizacija_Izvrsnog_Programa [Compatibility Mode]

Microsoft PowerPoint - Programski_Jezik_C_Organizacija_Izvrsnog_Programa [Compatibility Mode] Организација извршног програма (Марић, Јаничић: Програмирање 1, 9.3.3) Извршавање програма После успешног превођења (претпроцесирања, компилације, повезивања) програм може да се изврши Извршавање се захтева

Више

(Microsoft PowerPoint Helji\346 i .pptx)

(Microsoft PowerPoint Helji\346 i .pptx) Distribuirani IS za priključenje kupaca na ED mrežu (DISP) Jasmin Heljić Omer Gegić Emina Kreštalica 16.10.2013 Rovinj/Hotel Istra Sadržaj Uvod Projekt Metodologija Dizajn Aplikacija Zaključak 2 Uvod JP

Више

IV Spekulativno I predikatsko izvršavanje

IV Spekulativno I predikatsko izvršavanje Instrukcijski nivo paralelizma 42 Spekulativno izvršavanje 4. Spekulativno izvršavanje Pod spekulativnim izvršanjem podrazumevamo izvršavanje operacije unapred, uprkos tome što možda nije uopšte trebala

Више

Veeeeeliki brojevi

Veeeeeliki brojevi Matematička gimnazija Nedelja informatike 3 12. decembar 2016. Uvod Postoji 10 tipova ljudi na svetu, oni koji razumeju binarni sistem, oni koji ne razumeju binarni sistem i oni koji nisu očekivali šalu

Више

Satnica.xlsx

Satnica.xlsx САТНИЦА ПОЛАГАЊА ИСПИТА У ИСПИТНОМ РОКУ СЕПТЕМБАР 2018/2019 ПОНЕДЕЉАК 19.08.2019 Објектно оријентисано програмирање 41 2Б-ТЕЛ Методе преноса у телекомуникационим системима 1 2Б-ТЕЛ Моделовање и симулација

Више

6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe

6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe 6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe, očekuje se da su koordinate celobrojne. U slučaju

Више

Microsoft Word - Master 2013

Microsoft Word - Master 2013 ИСПИТНИ РОК: ЈУН 2018/2019 МАСТЕР АКАДЕМСКЕ СТУДИЈЕ (АКРЕДИТАЦИЈА 2013) Студијски програм: ЕЛЕКТРОЕНЕРГЕТИКА Семестар 17.06.2019 Статички електрицитет у технолошким процесима Електронска кола за управљање

Више

PowerPoint Presentation

PowerPoint Presentation + Fakultet organizacionih nauka Upravljanje razvojem IS MSc Ana Pajić Simović ana.pajic@fon.bg.ac.rs ANALIZA POSLOVNIH PROCESA BUSINESS PROCESS MANAGEMENT (BPM) PROCESS MINING + Business Process Management

Више

Microsoft Word - Master 2013

Microsoft Word - Master 2013 ИСПИТНИ РОК: СЕПТЕМБАР 2018/2019 МАСТЕР АКАДЕМСКЕ СТУДИЈЕ (АКРЕДИТАЦИЈА 2013) Студијски програм: ЕЛЕКТРОЕНЕРГЕТИКА Семестар 19.08.2019 Електромагнетна компатибилност у електроенергетици Управљање дистрибутивном

Више

Programiranje za UNIX Okruženje unix procesa

Programiranje za UNIX Okruženje unix procesa Programiranje za UNIX Okruženje unix procesa Sadržaj Memorijska slika UNIX procesa Argumenti naredbenog retka i varijable okruženja Životni ciklus procesa Izlazni status procesa 2 Memorijska slika UNIX

Више

Tutoring System for Distance Learning of Java Programming Language

Tutoring System for Distance Learning of Java Programming Language Niz (array) Nizovi Niz je lista elemenata istog tipa sa zajedničkim imenom. Redosled elemenata u nizovnoj strukturi je bitan. Konkretnom elementu niza pristupa se preko zajedničkog imena niza i konkretne

Више

PRIRODNO MATEMATIČKI FAKULTET U NIŠU DEPARTMAN ZA RAČUNARSKE NAUKE Utorak, godine PRIJEMNI ISPIT IZ INFORMATIKE 1. Koja od navedenih ekste

PRIRODNO MATEMATIČKI FAKULTET U NIŠU DEPARTMAN ZA RAČUNARSKE NAUKE Utorak, godine PRIJEMNI ISPIT IZ INFORMATIKE 1. Koja od navedenih ekste PRIRODNO MATEMATIČKI FAKULTET U NIŠU DEPARTMAN ZA RAČUNARSKE NAUKE Utorak, 5.06.019. godine PRIJEMNI ISPIT IZ INFORMATIKE 1. Koja od navedenih ekstenzija se najčešće koristi za tekstualne datoteke? a)

Више