LAB PRAKTIKUM OR1 _ETR_

Величина: px
Почињати приказ од странице:

Download "LAB PRAKTIKUM OR1 _ETR_"

Транскрипт

1 UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA, TELEKOMUNIKACIJE I RAČUNARI PREDMET: OSNOVE RAČUNARSTVA 1 FOND ČASOVA: LABORATORIJSKA VJEŽBA BROJ 1 NAZIV: REALIZACIJA LOGIČKE FUNKCIJE - XOR CILJEVI VJEŽBE: - upoznavanje sa eksperimentalnom pločicom, elektronskim kolima i komponentama, - povezivanje teorijske osnove (predavanja i auditorne vježbe) sa praktičnom realizacijom, - realizacija logičke funkcije (XOR) pomoću osnovnih logičkih kola (NAND), - posmatranje promjena na izlazima kola u zavisnosti od ulaznih vrijednosti, - utvrñivanje načina funkcionisanja elektronskog sklopa. POTREBAN PRIBOR: - pribor za pisanje IME I PREZIME:. BROJ INDEKSA:. BROJ POENA: OVJERAVA: DATUM: 1

2 Laboratorijska vježba 1 REALIZACIJA LOGIČKE FUNKCIJE - XOR 1. APARATURA Na raspolaganju su sljedeći ureñaji i oprema: eksperimentalna pločica elektronske komponente naponski izvor od 5V mehanički prekidači pomoćna oprema 2

3 Laboratorijska vježba 1 REALIZACIJA LOGIČKE FUNKCIJE - XOR 2. TEORIJSKA OSNOVA LABORATORIJSKE VJEŽBE Logička kola su sklopovi koji su u mogućnosti izvršavati osnovne logičke operacije: I (AND), ILI (OR) ili NE (NOT), ili izvedene logičke operacije kao što su: NI (NAND), NILI (NOR), Ekskluzivno ILI - XILI (XOR) ili Ekskluzivno NILI - XNILI (XNOR). Simbolički prikaz XOR kola je dat na narednoj slici: Tabela istinitosti XOR kola je A B Y Izvedena logička kola, kao što je XOR, mogu se realizovati kombinovanjem osnovnih logičkih kola. Na primjer, XOR kolo se može realizovati koristeći samo NAND kola. Operacija "ekskluzivno ili " u Bulovoj algebri se predstavlja izrazom: Y = A B = A B + A B Ovaj se izraz može transformisati u oblik: Y = A B + A B + A A + B B = A( A + B ) + B ( A + B ) = = A B A + A B B = ( A B A) ( A B B) Na osnovu ovog izraza formira se tražena logička mreža: 3

4 Laboratorijska vježba 1 REALIZACIJA LOGIČKE FUNKCIJE - XOR 3. ZADACI LABORATORIJSKE VJEŽBE Realizovati XOR funkciju koristeći NAND logička kola povezivanjem komponenti koje se nalaze na eksperimentalnoj pločici saglasno sledećoj slici: 5V 14 U1B 5V A B 1 2 5V U1A V U1C V U1D Postaviti komponente potrebne za realizaciju kola na eksperimentalnu pločicu. Pinove kola 4011 povezati na način prikazan na slici. Srednji kontakti prekidača se povezuju na odgovarajući pin kola 4011, a krajnji kontakti na naponski izvor (0V odnosno 5V). Povezati priključke naponskog izvora. Promjenom položaja prekidača dovodi se logička jedinica ili nula na pinove na kojima su prekidači vezani. Verifikacija rada kola se izvršava pomoću LED (ukoliko svijetli na izlazu je logička 1, u suprotnom logička 0). Pomoću prekidača A i B na sklop dovoditi logičku jedinicu (5V) odnosno logičku nulu (0V) i posmatrati LED. Na osnovu dobijenih rezultata popuniti sledeću tabelu: A B Y

5 Laboratorijska vježba 1 REALIZACIJA LOGIČKE FUNKCIJE - XOR 4. ZAKLJUČAK 5

6 UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA, TELEKOMUNIKACIJE I RAČUNARI PREDMET: OSNOVE RAČUNARSTVA 1 FOND ČASOVA: LABORATORIJSKA VJEŽBA BROJ 2 NAZIV: DEKODER CILJEVI VJEŽBE: - upoznavanje sa složenim elektronskim kolima na primjeru funkcionisanja dekodera, - povezivanje teorijske osnove (predavanja i auditorne vježbe) sa praktičnom realizacijom, - realizacija dekodera 2/4 koristeći veći dekoder, - posmatranje promjena na izlazima kola u zavisnosti od ulaznih vrijednosti, - utvrñivanje načina funkcionisanja elektronskog sklopa. POTREBAN PRIBOR: - pribor za pisanje IME I PREZIME:. BROJ INDEKSA:. BROJ POENA: OVJERAVA: DATUM:

7 Laboratorijska vježba 2 1. APARATURA DEKODER Na raspolaganju su sljedeći ureñaji i oprema: eksperimentalna pločica elektronske komponente naponski izvor od 5V mehanički prekidači pomoćna oprema 1

8 Laboratorijska vježba 2 DEKODER 2. TEORIJSKA OSNOVA LABORATORIJSKE VJEŽBE Dekoder je složeno logičko kolo koje daje logičku jedinicu na jednom od svojih 2 n izlaza, dok je na ostalih (2 n -1) izlaza logička nula. Na kom izlazu će se nalaziti logička jedinica zavisi od binarne kombinacije koja je postavljena na n ulaza dekodera. Svakoj binarnoj kombinaciji na ulazu odgovara po jedan izlaz dekodera. Primjer realizacije dekodera 2/4 (2 ulaza i 4 izlaza) dat je na sledećoj slici: 2-u-4 dekoder Sa A su obeleženi ulazi a sa D izlazi dekodera. Tabela istinitosti dekodera 2/4 je: A 1 A 0 D 3 D 2 D 1 D Iz ove tabele lako je izvesti i sljedeće jednakosti: D0 = A1 A0 D1 = A1 A0 D2 = A1 A0 D3 = A1 A0 2

9 Laboratorijska vježba 2 DEKODER 3. ZADACI LABORATORIJSKE VJEŽBE Realizovati dekoder 2/4 koristeći dekoder većeg kapaciteta (kolo CD4028), na način prikazan na sledećoj slici: 5V B A 5V CD4028 A Q0 B Q1 C Q2 D Q3 Q4 VDD Q5 Q6 Q7 Q8 Q9 Dekoder Postaviti komponente potrebne za realizaciju sklopa na eksperimentalnu pločicu. Pinove kola CD4028 povezati na način prikazan na slici. Srednji kontakti prekidača se povezuju na odgovarajući pin kola CD4028, a krajnji kontakti na naponski izvor (0V odnosno 5V). Povezati priključke naponskog izvora. Promjenom položaja prekidača dovodi se logička jedinica ili nula na pinove na kojima su prekidači vezani. Verifikacija rada kola se izvršava pomoću LED (ukoliko svijetli na izlazu je logička 1, u suprotnom logička 0). Pomoću prekidača A i B na sklop dovoditi logičku jedinicu (5V) odnosno logičku nulu (0V) i posmatrati LED. Na osnovu dobijenih rezultata popuniti sledeću tabelu: B A Q0 Q1 Q2 Q

10 Laboratorijska vježba 2 DEKODER 4. ZAKLJUČAK 4

11 UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA, TELEKOMUNIKACIJE I RAČUNARI PREDMET: OSNOVE RAČUNARSTVA 1 FOND ČASOVA: LABORATORIJSKA VJEŽBA BROJ 3 NAZIV: REALIZACIJA ELEKTRONSKOG KOLA ZA DETEKCIJU BINARNIH TETRADA CILJEVI VJEŽBE: - upoznavanje sa složenim elektronskim kolima na primjeru funkcionisanja multipleksera, - primjer upotrebe multipleksera u realizaciji složenijih logičkih sklopova, - povezivanje teorijske osnove (predavanja i auditorne vježbe) sa praktičnom realizacijom, - posmatranje promjena na izlazima kola u zavisnosti od ulaznih vrijednosti, - utvrñivanje načina funkcionisanja elektronskog sklopa. POTREBAN PRIBOR: - pribor za pisanje IME I PREZIME:. BROJ INDEKSA:. BROJ POENA: OVJERAVA: DATUM:

12 Laboratorijska vježba 3 1. APARATURA REALIZACIJA ELEKTRONSKOG KOLA ZA DETEKCIJU BINARNIH TETRADA Na raspolaganju su sljedeći ureñaji i oprema: eksperimentalna pločica elektronske komponente naponski izvor od 5V mehanički prekidači pomoćna oprema 1

13 Laboratorijska vježba 3 REALIZACIJA ELEKTRONSKOG KOLA ZA DETEKCIJU BINARNIH TETRADA 2. TEORIJSKA OSNOVA LABORATORIJSKE VJEŽBE Multiplexer je kolo koje omogućava da se od 2 n podataka koji su dovedeni na isto toliko ulaza ka izlazu proslijedi samo jedan. Odabiranje ulaza koji će se proslijediti do izlaza se vrši zadavanjem adrese u vidu rednog broja odabranog ulaza. Za 2 n ulaza adresa je definisana sa n bita. Multiplexer za slučaj n=2 je prikazan na slici. Signali S 0 i S 1 su kontrolni i zajedno predstavljaju redni broj odabranog ulaza. Vrijednost izlaza Y za različite vrijednosti S 0 i S 1 je data tebelom: S 1 S 0 Y 0 0 I I I I 3 Na primjeru kola za detekciju binarnih tetrada koje sadrže više od jedne jedinice prikazaćemo jedan od načina upotrebe multipleksera 8/1 za realizaciju složenih logičkih kola. Karnoova tabela za ovu funkciju će biti: CD AB Ako uzmemo promenljive A, B i C za selekcione ulaze multipleksera (A - najveće težine, C - najmanje težine), onda se Karnoova tabela može predstaviti na sljedeći način: 2

14 Laboratorijska vježba 3 REALIZACIJA ELEKTRONSKOG KOLA ZA DETEKCIJU BINARNIH TETRADA C AB I0 I1 I2 I3 I6 I7 I4 I5 Iz tablice slijedi da su: I0=0, I1=D, I2=D, I3=1, I4=D, I5=1, I6=1, I7=1, pa realizacija ima sledeći izgled: 0 D 1 I0 I1 I2 I3 I4 I5 I6 I7 MX 8/1 A2 A1 A0 F A B C 3

15 Laboratorijska vježba 3 REALIZACIJA ELEKTRONSKOG KOLA ZA DETEKCIJU BINARNIH TETRADA 3. ZADACI LABORATORIJSKE VJEŽBE Projektovati digitalnu mrežu za detektovanje binarnih tetrada koje sadrže više od jedne jedinice. Mrežu realizovati pomoću multipleksera 8/1, saglasno šemi na slici: 5V W X Y C151 D0 Y D1 Y D2 D3 D4 D5 D6 D7 A B C G Z Multipleksor Postaviti komponente potrebne za realizaciju sklopa na eksperimentalnu pločicu. Pinove kola 74C151 povezati na način prikazan na slici. Srednji kontakti prekidača se povezuju na odgovarajući pin kola 74C151, a krajnji kontakti na naponski izvor (0V odnosno 5V). Povezati priključke naponskog izvora. Promjenom položaja prekidača dovodi se logička jedinica ili nula na pinove na kojima su prekidači vezani. Verifikacija rada kola se izvršava pomoću LED (ukoliko svijetli na izlazu je logička 1, u suprotnom logička 0). Pomoću prekidača X, Y, Z i W na sklop dovoditi logičku jedinicu (5V) odnosno logičku nulu (0V) i posmatrati LED. Na osnovu dobijenih rezultata popuniti sledeću tabelu: X Y Z W F

16 Laboratorijska vježba REALIZACIJA ELEKTRONSKOG KOLA ZA DETEKCIJU BINARNIH TETRADA 5

17 Laboratorijska vježba 3 REALIZACIJA ELEKTRONSKOG KOLA ZA DETEKCIJU BINARNIH TETRADA 4. ZAKLJUČAK 6

18 UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA, TELEKOMUNIKACIJE I RAČUNARI PREDMET: OSNOVE RAČUNARSTVA 1 FOND ČASOVA: LABORATORIJSKA VJEŽBA BROJ 4 NAZIV: POTPUNI BINARNI SABIRAČ CILJEVI VJEŽBE: - primjer upotrebe dekodera u realizaciji složenijih logičkih sklopova, - praktična realizacija potpunog binarnog sabirača, - povezivanje teorijske osnove (predavanja i auditorne vježbe) sa praktičnom realizacijom, - posmatranje promjena na izlazima kola u zavisnosti od ulaznih vrijednosti, - utvrñivanje načina funkcionisanja elektronskog sklopa. POTREBAN PRIBOR: - pribor za pisanje IME I PREZIME:. BROJ INDEKSA:. BROJ POENA: OVJERAVA: DATUM:

19 Laboratorijska vježba 4 1. APARATURA POTPUNI BINARNI SABIRAČ Na raspolaganju su sljedeći ureñaji i oprema: eksperimentalna pločica elektronske komponente naponski izvor od 5V mehanički prekidači pomoćna oprema 1

20 Laboratorijska vježba 4 POTPUNI BINARNI SABIRAČ 2. TEORIJSKA OSNOVA LABORATORIJSKE VJEŽBE Zbir dvije binarne cifre A i B se, na osnovu definicije binarnog sabiranja, dobija operacijom ekskluzivno ILI (EXOR): S = A B = AB + AB Prenos je jednak rezultatu logičke I (AND) operacije: C = AB Sabiranje dvije binarne cifre je moguće izvršiti kolom prikazanim na slici: Prethodno kolo se naziva polusabirač, pošto ne uzima u obzir prenos sa mjesta nižeg bita, ako su A i B cifre višebitnih binarnih brojeva. Ako uzmemo u obzir i prenos sa mjesta nižeg bita Cin, onda se takav sabirač naziva potpuni i može se realizovati kolom na slici: Potpuni sabirač se može realizovati i na osnovu tabele logičkih ulaza i izlaza: i A B Cin S Cout Iz tabele zaključujemo da je: S = ABCin + ABCin + ABCin + ABCin = (1, 2, 4,7), Cout = ABCin + ABCin + ABCin + ABCin = BCin + ABCin + ABCin = (3,5,6,7). 2

21 Laboratorijska vježba 4 POTPUNI BINARNI SABIRAČ Na osnovu gornjih izraza, potpuni sabirač se može realizovati korišćenjem dekodera 3/8, kao na slici: 3

22 Laboratorijska vježba 4 POTPUNI BINARNI SABIRAČ 3. ZADACI LABORATORIJSKE VJEŽBE Realizovati potpuni binarni sabirač koristeći dekoder 3/8, saglasno šemi prikazanoj na slici: 5V 5V A B Cin 5V CD4028 A Q0 B Q1 C Q2 D Q3 Q4 VDD Q5 Q6 Q7 Q8 Q9 Dekoder V U2B U2A S Cout Postaviti komponente potrebne za realizaciju sklopa na eksperimentalnu pločicu. Pinove kola CD4028 povezati na način prikazan na slici. Srednji kontakti prekidača se povezuju na odgovarajući pin kola CD4028, a krajnji kontakti na naponski izvor (0V odnosno 5V). Povezati priključke naponskog izvora. Promjenom položaja prekidača dovodi se logička jedinica ili nula na pinove na kojima su prekidači vezani. Verifikacija rada kola se izvršava pomoću LED (ukoliko svijetli na izlazu je logička 1, u suprotnom logička 0). Pomoću prekidača A, B i Cin na sklop dovoditi logičku jedinicu (5V) odnosno logičku nulu (0V) i posmatrati LED. Na osnovu dobijenih rezultata popuniti sledeću tabelu: A B Cin S Cout

23 Laboratorijska vježba 4 POTPUNI BINARNI SABIRAČ 4. ZAKLJUČAK 5

24 UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA, TELEKOMUNIKACIJE I RAČUNARI PREDMET: OSNOVE RAČUNARSTVA 1 FOND ČASOVA: LABORATORIJSKA VJEŽBA BROJ 5 NAZIV: DVOBITNI BINARNI BROJAČ CILJEVI VJEŽBE: - primjer upotrebe JK flip flopa za realizaciju elektronskog sklopa, - upoznavanje sa realizacijom sekvencijalnog kola, - povezivanje teorijske osnove (predavanja i auditorne vježbe) sa praktičnom realizacijom, - posmatranje promjena na izlazima kola u zavisnosti od taktnog signala, - utvrñivanje načina funkcionisanja elektronskog sklopa. POTREBAN PRIBOR: - pribor za pisanje IME I PREZIME:. BROJ INDEKSA:. BROJ POENA: OVJERAVA: DATUM:

25 Laboratorijska vježba 5 1. APARATURA DVOBITNI BINARNI BROJAČ Na raspolaganju su sljedeći ureñaji i oprema: eksperimentalna pločica elektronske komponente naponski izvor od 5V mehanički prekidači pomoćna oprema 1

26 Laboratorijska vježba 5 DVOBITNI BINARNI BROJAČ 2. TEORIJSKA OSNOVA LABORATORIJSKE VJEŽBE JK flip flop je modifikovana verzija RS flip flopa koja eliminiše njegova neodreñena stanja. Kod ovog flip flopa, kada se na ulaz dovedu jedinice izlaz komplementira svoju vrijednost. Jedan od načina realizacije JK flip flopa je predstavljen na slici: slici: Takoñe, postoji više realizacija master-slave JK flip flopa, a jedan od njih je predstavljen na Bez obzira na način realizacije JK flip flopa, tabela istinitosti je uvjek identična: J K Q n Q n (reset) (set) 1 1 Q n Karakteristična jednačina JK flip-flop je Qnext = J Q + KQ. Na osnovu tabele istinitosti kreiramo ekscitacijsku tabelu: Q n Q n+1 J K X X 1 0 X X 0 2

27 Laboratorijska vježba 5 DVOBITNI BINARNI BROJAČ Jedan od primjera upotrebe JK flip flopova je realizacija dvobitnog binarnog brojača, koja se opisuje tabelom: A B A B Ja Ka Jb Kb X 1 X X X X 0 1 X X 1 X 1 Minimizacijom funkcija dobija se: Ja = Ka = B, Jb = Kb = 1. 3

28 Laboratorijska vježba 5 DVOBITNI BINARNI BROJAČ 3. ZADACI LABORATORIJSKE VJEŽBE Realizovati dvobitni binarni brojač (broji sekvencu 0-3), saglasno šemi na slici: 5V 5V TAKT 10nF U1A J K CLK R S VDD Q 1 Q 2 10K D0 - CRVENA CD4027 U1B J K CLK R S VDD Q 15 Q D1 - ZELENA CD4027 Postaviti komponente potrebne za realizaciju sklopa na eksperimentalnu pločicu. Pinove kola CD4027 povezati na način prikazan na slici. Srednji kontakt prekidača se povezuje na odgovarajuće pinove kola CD4027, a krajnji kontakti na naponski izvor (0V odnosno 5V). Povezati priključke naponskog izvora. Promjenom položaja prekidača dovodi se logička jedinica ili nula na pinove na koje je prekidač vezan. Na taj način se generiše takt brojača. Verifikacija rada kola se izvršava pomoću LED (ukoliko svijetli na izlazu je logička 1, u suprotnom logička 0). Pomoću prekidača na sklop dovoditi logičku jedinicu (5V) odnosno logičku nulu (0V) (taktovati kolo) i posmatrati LED. Nakon svakog taktnog impulsa popuniti odgovarajuća polja u tabeli. Taktni impuls br. D1 D0 decimalna vrijednost

29 Laboratorijska vježba 5 DVOBITNI BINARNI BROJAČ 4. ZAKLJUČAK 5

Орт колоквијум

Орт колоквијум II колоквијум из Основа рачунарске технике I - 27/28 (.6.28.) Р е ш е њ е Задатак На улазе x, x 2, x 3, x 4 комбинационе мреже, са излазом z, долази четворобитни BCD број. Ако број са улаза при дељењу

Више

Орт колоквијум

Орт колоквијум Испит из Основа рачунарске технике - / (6.6.. Р е ш е њ е Задатак Комбинациона мрежа има пет улаза, по два за број освојених сетова тенисера и један сигнал који одлучује ко је бољи уколико је резултат

Више

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на след

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на след Испит из Основа рачунарске технике OO - / (...) Р е ш е њ е Задатак Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на следећој слици: S R Асинхрони RS флип флопреализован помоћу НИЛИ кола је

Више

УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке Писмени део испита из предмета Увод у рачунарство 1. [7 пое

УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке Писмени део испита из предмета Увод у рачунарство 1. [7 пое УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке 30.06.2018. Писмени део испита из предмета Увод у рачунарство 1. [7 поена] Методом МакКласкија минимизарити систем прекидачких

Више

ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera

ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera CILJ VEŽBE Cilj ove vežbe je da se studenti kreiranjem

Више

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећ

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећ Испит из Основа рачунарске технике OO - 27/2 (9.6.2.) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећој слици: S Q R Q Асинхрони RS флип флопреализован помоћу НИ

Више

Microsoft Word - SIORT1_2019_K1_resenje.docx

Microsoft Word - SIORT1_2019_K1_resenje.docx I колоквијум из Основа рачунарске технике I СИ- 208/209 (24.03.209.) Р е ш е њ е Задатак f(x, x 2, x 3 ) = (x + x x ) x (x x 2 + x ) + x x 2 x 3 f(x, x 2, x 3 ) = (x + x x ) (x x + (x )) 2 + x + x x 2

Више

Увод у организацију и архитектуру рачунара 1

Увод у организацију и архитектуру рачунара 1 Увод у организацију и архитектуру рачунара 2 Александар Картељ kartelj@matf.bg.ac.rs Напомена: садржај ових слајдова је преузет од проф. Саше Малкова Увод у организацију и архитектуру рачунара 2 1 Секвенцијалне

Више

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x I колоквијум из Основа рачунарске технике I СИ- / (...) Р е ш е њ е Задатак Тачка А Потребно је прво пронаћи вредности функција f(x, x, x ) и g(x, x, x ) на свим векторима. f(x, x, x ) = x x + x x + x

Више

ELEKTRONIKA

ELEKTRONIKA МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА РЕПУБЛИКЕ СРБИЈЕ ЗАЈЕДНИЦА ЕЛЕКТРОТЕХНИЧКИХ ШКОЛА РЕПУБЛИКЕ СРБИЈЕ ДВАДЕСЕТ ДРУГО РЕГИОНАЛНО ТАКМИЧЕЊЕ ЗАДАЦИ ИЗ ЕЛЕКТРОНИКЕ ЗА УЧЕНИКЕ ТРЕЋЕГ РАЗРЕДА

Више

Орт колоквијум

Орт колоквијум Задатак 1 I колоквијум из Основа рачунарске технике I - надокнада - 008/009 (16.05.009.) Р е ш е њ е a) Пошто постоје вектори на којима се функција f не јавља и вектори на којима има вредност један, лако

Више

Универзитет у Нишу Природно-математички факултет Увод у рачунарство Број индекса 200 II домаћи задатак 1. За прекидачку функцију ff(xx 1, xx 2, xx 3 )

Универзитет у Нишу Природно-математички факултет Увод у рачунарство Број индекса 200 II домаћи задатак 1. За прекидачку функцију ff(xx 1, xx 2, xx 3 ) Универзитет у Нишу Природно-математички факултет Увод у рачунарство Број индекса 200 II домаћи задатак 1. За прекидачку функцију ff(xx 1, xx 2, xx 3 ) = (xx 1 + xx 2 + xx 3 )(xx 1 + xx 2 + )(xx 3 1 + xx

Више

VIK-01 opis

VIK-01 opis Višenamensko interfejsno kolo VIK-01 Višenamensko interfejsno kolo VIK-01 (slika 1) služi za povezivanje različitih senzora: otpornog senzora temperature, mernih traka u mostnoj vezi, termopara i dr. Pored

Више

Орт колоквијум

Орт колоквијум I колоквијум из Основа рачунарске технике I - надокнада СИ - 008/009 (10.05.009.) Р е ш е њ е Задатак 1 a) Пошто постоје вектори на којима се функција f не јавља и вектори на којима има вредност један,

Више

Logičke izjave i logičke funkcije

Logičke izjave i logičke funkcije Logičke izjave i logičke funkcije Građa računala, prijenos podataka u računalu Što su logičke izjave? Logička izjava je tvrdnja koja može biti istinita (True) ili lažna (False). Ako je u logičkoj izjavi

Више

Logicko projektovanje racunarskih sistema I

Logicko projektovanje racunarskih sistema I POKAZNA VEŽBA 10 Strukture za računanje Potrebno predznanje Urađena pokazna vežba 8 Poznavanje aritmetičkih digitalnih sistema i aritmetičko-logičkih jedinica Osnovno znanje upravljačkih jedinica digitalnih

Више

Algoritmi i arhitekture DSP I

Algoritmi i arhitekture DSP I Univerzitet u Novom Sadu Fakultet Tehničkih Nauka Katedra za računarsku tehniku i međuračunarske komunikacije Algoritmi i arhitekture DSP I INTERNA ORGANIACIJA DIGITALNOG PROCESORA A OBRADU SIGNALA INTERNA

Више

ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати и

ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати и ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати истинитоносне таблице изведених логичких везника (НИ,

Више

Microsoft PowerPoint - 1.DE.RI3g.09.Uvod

Microsoft PowerPoint - 1.DE.RI3g.09.Uvod Дејан Јокић Миломир Шоја Предмет: ДИГИТАЛНА ЕЛЕКТРОНИКА Број кредита: 6 Седмично часова: 2+2+12+1 (П+АВ+ЛВ) Укупно часова: 30+45 Пун назив ДИГИТАЛНА ЕЛЕКТРОНИКА Скраћени назив Статус Семестар ЕСПБ Фонд

Више

zad_6_2.doc

zad_6_2.doc .. S- i S- komunikacioni standardi Zadatak. Pomoću MX i čipa, potrebno je realizovati konvertor S- na S-. MX ima raspored pinova kao na slici..,0μf +V +V ULZ V CC T IN T IN OUT IN T OUT 0 9 OUT IN T OUT

Више

PowerPoint Presentation

PowerPoint Presentation Универзитет у Нишу Електронски факултет у Нишу Катедра за теоријску електротехнику ЛАБОРАТОРИЈСКИ ПРАКТИКУМ ОСНОВИ ЕЛЕКТРОТЕХНИКЕ Увод Циљ и задаци предмета Припрема студената за практичан рад у Лабораторији

Више

1

1 Podsetnik: Statističke relacije Matematičko očekivanje (srednja vrednost): E X x p x p x p - Diskretna sl promenljiva 1 1 k k xf ( x) dx E X - Kontinualna sl promenljiva Varijansa: Var X X E X E X 1 N

Више

Broj indeksa:

Broj indeksa: putstvo za 5. laboratorijsku vežbu Napomena: svakoj brojnoj vrednosti fizičkih veličina koje se nalaze u izveštaju obavezno pridružiti odgovarajuće jedinice, uključujući i oznake na graficima u tabelama

Више

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i za generisanje željenih izlaznih signala (slika 1).

Више

Classroom Expectations

Classroom Expectations АТ-8: Терминирање производно-технолошких ентитета Проф. др Зоран Миљковић Садржај Пројектовање флексибилних ; Математички модел за оптимизацију флексибилних ; Генетички алгоритми у оптимизацији флексибилних

Више

Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић

Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аутори: Драган Пејић, Бојан Вујичић, Небојша Пјевалица,

Више

Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: min c T x Ax = b x 0 x Z n Gde pretpostavljamo da je A celobrojna matrica

Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: min c T x Ax = b x 0 x Z n Gde pretpostavljamo da je A celobrojna matrica Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: min c T x Ax = b x 0 x Z n Gde pretpostavljamo da je A celobrojna matrica dimenzije m n, b Z m, c Z n. Takođe, očekuje se da

Више

Pojačavači

Pojačavači Programiranje u fizici Prirodno-matematički fakultet u Nišu Departman za fiziku dr Dejan S. Aleksić Programiranje u fizici dr Dejan S. Aleksić, vanredni profesor Kabinet 307 (treći sprat), lab. za elektroniku

Више

oae_10_dom

oae_10_dom ETF U BEOGRADU, ODSEK ZA ELEKTRONIKU Milan Prokin Radivoje Đurić domaći zadaci - 2010 1. Domaći zadatak 1.1. a) [4] Nacrtati direktno spregnut pojačavač (bez upotrebe sprežnih kondenzatora) sa NPN tranzistorima

Више

LAB 4 - Binarni komparator

LAB 4 - Binarni komparator UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA,TELEKOMUNIKACIJE I RAČUNARI PREDMET: PROJEKTOVANJE DIGITALNIH SISTEMA FOND ČASOVA: 3 + 0 + 1 LABORATORIJSKA VJEŽBA NAZIV: REALIZACIJA

Више

Veeeeeliki brojevi

Veeeeeliki brojevi Matematička gimnazija Nedelja informatike 3 12. decembar 2016. Uvod Postoji 10 tipova ljudi na svetu, oni koji razumeju binarni sistem, oni koji ne razumeju binarni sistem i oni koji nisu očekivali šalu

Више

Microsoft Word - vodicitm.doc

Microsoft Word - vodicitm.doc Универзитет у Београду Машински факултет ВОДИЧ кроз основне академске студије Информационе технологије у машинству Школска 2019/2020. година Београд, октобар 2019. године Структура студија које се од 1.10.2005.

Више

Техничко решење: Софтвер за симулацију стохастичког ортогоналног мерила сигнала, његовог интеграла и диференцијала Руководилац пројекта: Владимир Вуји

Техничко решење: Софтвер за симулацију стохастичког ортогоналног мерила сигнала, његовог интеграла и диференцијала Руководилац пројекта: Владимир Вуји Техничко решење: Софтвер за симулацију стохастичког ортогоналног мерила сигнала, његовог интеграла и диференцијала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аутори: Велибор

Више

I grupa 1. Napisati program koji izračunava i ispisuje zbir 4 najveća od pet brojeva unetih sa standardnog ulaza. ulaz izlaz Analiza: 1.

I grupa 1. Napisati program koji izračunava i ispisuje zbir 4 najveća od pet brojeva unetih sa standardnog ulaza. ulaz izlaz Analiza: 1. I grupa 1. Napisati program koji izračunava i ispisuje zbir 4 najveća od pet brojeva unetih sa standardnog ulaza. ulaz izlaz 3 2 1 4 5 14 Analiza: 1. Odredimo zbir svih 5 unesenih brojeva (i sačuvamo u

Више

13E114PAR, 13S113PAR DOMAĆI ZADATAK 2018/2019. Cilj domaćeg zadatka je formiranje petlje softverske protočnosti za minimalni broj ciklusa.

13E114PAR, 13S113PAR DOMAĆI ZADATAK 2018/2019. Cilj domaćeg zadatka je formiranje petlje softverske protočnosti za minimalni broj ciklusa. 13E114PAR, 13S113PAR 29.04.2019. DOMAĆI ZADATAK 2018/2019. Cilj domaćeg zadatka je formiranje petlje softverske protočnosti za minimalni broj ciklusa. U okviru svake grupe data je doall ili doacross petlja

Више

PROMENLJIVE, TIPOVI PROMENLJIVIH

PROMENLJIVE, TIPOVI PROMENLJIVIH PROMENLJIVE, TIPOVI PROMENLJIVIH Šta je promenljiva? To je objekat jezika koji ima ime i kome se mogu dodeljivati vrednosti. Svakoj promenljivoj se dodeljuje registar (memorijska lokacija) operativne memorije

Више

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode]

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode] ij Cilj: Dobiti što više informacija o ponašanju digitalnih kola za što kraće vreme. Metod: - Detaljni talasni oblik signala prikazati samo na nivou logičkih stanja. - Simulirati ponašanje kola samo u

Више

TEORIJA SIGNALA I INFORMACIJA

TEORIJA SIGNALA I INFORMACIJA Multiple Input/Multiple Output sistemi MIMO sistemi Ulazi (pobude) Izlazi (odzivi) u 1 u 2 y 1 y 2 u k y r Obrada=Matematički model Načini realizacije: fizički sistemi (hardware) i algoritmi (software)

Више

EНЕРГЕТСКИ ПРЕТВАРАЧИ 1 јануар Трофазни једнострани исправљач прикључен је на круту мрежу 3x380V, 50Hz преко трансформатора у спрези Dy, као

EНЕРГЕТСКИ ПРЕТВАРАЧИ 1 јануар Трофазни једнострани исправљач прикључен је на круту мрежу 3x380V, 50Hz преко трансформатора у спрези Dy, као EНЕРГЕТСКИ ПРЕТВАРАЧИ 1 јануар 017. 1. Трофазни једнострани исправљач прикључен је на круту мрежу x80, 50Hz преко трансформатора у спрези Dy, као на слици 1. У циљу компензације реактивне снаге, паралелно

Више

ДРУШТВО ФИЗИЧАРА СРБИЈЕ МИНИСТАРСТВО ПРОСВЕТЕ И СПОРТА РЕПУБЛИКЕ СРБИЈЕ Задаци за републичко такмичење ученика средњих школа 2006/2007 године I разред

ДРУШТВО ФИЗИЧАРА СРБИЈЕ МИНИСТАРСТВО ПРОСВЕТЕ И СПОРТА РЕПУБЛИКЕ СРБИЈЕ Задаци за републичко такмичење ученика средњих школа 2006/2007 године I разред ДРУШТВО ФИЗИЧАРА СРБИЈЕ МИНИСТАРСТВО ПРОСВЕТЕ И СПОРТА РЕПУБЛИКЕ СРБИЈЕ Задаци за републичко такмичење ученика средњих школа 006/007 године разред. Електрични систем се састоји из отпорника повезаних тако

Више

( )

(  ) Заштита животне средине Основе механике (кратак преглед предмета) др Ратко Маретић др Дамир Мађаревић Департман за Техничку механику, Факултет техничких наука Нови Сад Садржаj 1. Информациjе о предмету

Више

Алгебарски изрази 1. Запиши пет произвољних бројевних израза. 2. Израчунај вредност израза: а) : ; б) : (

Алгебарски изрази 1. Запиши пет произвољних бројевних израза. 2. Израчунај вредност израза: а) : ; б) : ( Алгебарски изрази 1. Запиши пет произвољних бројевних израза. 2. Израчунај вредност израза: а) 5 3 4 : 2 1 2 + 1 1 6 2 3 4 ; б) 5 3 4 : ( 2 1 2 + 1 1 6 ) 2 3 4 ; в) ( 5 3 4 : 2 1 2 + 1 1 6 ) 2 3 4 ; г)

Више

Z-15-84

Z-15-84 РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ЕКОНОМИЈЕ И РЕГИОНАЛНОГ РАЗВОЈА ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11 000 Београд, Мике Аласа 14, пошт. преградак 34, ПАК 105305 телефон: (011) 328-2736, телефакс: (011)

Више

6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe

6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe 6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe, očekuje se da su koordinate celobrojne. U slučaju

Више

Slide 1

Slide 1 Катедра за управљање системима ТЕОРИЈА СИСТЕМА Предавањe 2: Основни појмови - систем, модел система, улаз и излаз UNIVERSITY OF BELGRADE FACULTY OF ORGANIZATIONAL SCIENCES План предавања 2018/2019. 1.

Више

FIZIČKA ELEKTRONIKA

FIZIČKA ELEKTRONIKA Univerzitet u Nišu Elektronski fakultet PRAKTIKUM ZA LABORATORIJSKE VEŽBE IZ PREDMETA POLUPROVODNIČKE KOMPONENTE (IV semestar modul EKM) Aneta Prijić Miloš Marjanović SPISAK VEŽBI 1. Ispravljačka diodna

Више

Microsoft PowerPoint - DAC.ppt [Compatibility Mode]

Microsoft PowerPoint - DAC.ppt [Compatibility Mode] Analogne i digitalne velicine Analogne veličine su kontinualne po vremenu i amplitudi. Digitalne veličine se predstavljaju nizom brojeva. Svaki broj predstavlja jedan odbirak u vremenu. Odbirak ima konačnu

Више

Elektronika 1-RB.indb

Elektronika 1-RB.indb IME I PREZIME UČENIKA RAZRED NADNEVAK OCJENA Priprema za vježbu Snimanje strujno-naponske karakteristike diode. Definirajte poluvodiče i navedite najčešće korištene elementarne poluvodiče. 2. Slobodni

Више

Model podataka

Model podataka Fakultet organizacionih nauka Uvod u informacione sisteme Doc. Dr Ognjen Pantelić Modeliranje podataka definisanje strategije snimanje postojećeg stanja projektovanje aplikativno modeliranje implementacija

Више

М А Т Е М А Т И К А Први разред (180) Предмети у простору и односи међу њима (10; 4 + 6) Линија и област (14; 5 + 9) Класификација предмета према свој

М А Т Е М А Т И К А Први разред (180) Предмети у простору и односи међу њима (10; 4 + 6) Линија и област (14; 5 + 9) Класификација предмета према свој М А Т Е М А Т И К А Први разред (180) Предмети у простору и односи међу њима (10; 4 + 6) Линија и област (14; 5 + 9) Класификација предмета према својствима (6; 2 + 4) Природни бројеви до 100 (144; 57

Више

Ravno kretanje krutog tela

Ravno kretanje krutog tela Ravno kretanje krutog tela Brzine tačaka tela u reprezentativnom preseku Ubrzanja tačaka u reprezentativnom preseku Primer određivanja brzina i ubrzanja kod ravnog mehanizma Ravno kretanje krutog tela

Више

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ и технолошког развоја ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВН

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ и технолошког развоја ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВН Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ и технолошког развоја ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВНОГ ОБРАЗОВАЊА И ВАСПИТАЊА школска 2018/2019. година

Више

Satnica.xlsx

Satnica.xlsx ПОНЕДЕЉАК 17.06.2019 2Б-УПС Електрична кола 24 Б-УПС Електрична кола 1 УПС Теорија кола 2 2Б-ЕЕН Електрична кола у електроенергетици 8 Б-ЕЕН Електрична кола 1 ЕЕН Теорија електричних кола 1 А1 2Б-ЕЛК Дигитална

Више

Z-15-85

Z-15-85 РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ЕКОНОМИЈЕ И РЕГИОНАЛНОГ РАЗВОЈА ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11 000 Београд, Мике Аласа 14, пошт. преградак 34, ПАК 105305 телефон: (011) 328-2736, телефакс: (011)

Више

К О Н К У Р С

К О Н К У Р С МАТЕМАТИЧКИ ФАКУЛТЕТ Студентски трг 16 Телефон: 011/2027-801, 2027-811 Факс: 011/2630-151 E-mail: matf@matf.bg.ac.rs Интернет адреса: http://www.matf.bg.ac.rs СТУДИЈСКИ ПРОГРАМИ ЗА КОЈЕ СЕ КОНКУРС РАСПИСУЈЕ

Више

Pred_PLS_2

Pred_PLS_2 Sinteza logičkih kola Vanr.prof.dr.Lejla Banjanović- Mehmedović Sadržaj izlaganja Procedura projektovanja logičkih kola Osnovni elementi u projektovanju logičkih kola Primjeri sinteze logičkih kola Koraci

Више

Školska 20 /. godina OPERATIVNI PLAN RADA NASTAVNIKA ZA MJESEC SEPTEMBAR Naziv predmeta: MATEMATIKA Razred: II Nedjelјni fond časova: 5 Ocjena ostvare

Školska 20 /. godina OPERATIVNI PLAN RADA NASTAVNIKA ZA MJESEC SEPTEMBAR Naziv predmeta: MATEMATIKA Razred: II Nedjelјni fond časova: 5 Ocjena ostvare Školska 20 /. godina OPERATVN PLAN RADA NASTAVNKA ZA MJESEC SEPTEMBAR Naziv predmeta: MATEMATKA Razred: Nedjelјni fond časova: 5 Ocjena ostvarenosti plana i razlozi odstupanja za protekli mjesec: nastavne

Више

Satnica.xlsx

Satnica.xlsx ПОНЕДЕЉАК 10.06.19 2Б Алгоритми и програмирање - КОЛОКВИЈУМ 64 А3 2Б Алгоритми и програмирање - КОЛОКВИЈУМ 46 Ч1 2Б Алгоритми и програмирање - КОЛОКВИЈУМ 70 Ч2 2Б Алгоритми и програмирање - КОЛОКВИЈУМ

Више

Microsoft Word - CAD sistemi

Microsoft Word - CAD sistemi U opštem slučaju, se mogu podeliti na 2D i 3D. 2D Prvo pojavljivanje 2D CAD sistema se dogodilo pre više od 30 godina. Do tada su inženjeri koristili table za crtanje (kulman), a zajednički jezik komuniciranja

Више

Microsoft Word - Tok casa Elektronski elementi Simeunovic Bosko

Microsoft Word - Tok casa Elektronski elementi Simeunovic Bosko ПРИПРЕМА ЗА ИЗВОЂЕЊЕ НАСТАВЕ Наставник: Симеуновић Бошко, ОШ Татомир Анђелић Мрчајевци Предмет: Техничко и информатичко образовање Наставна тема: ДИГИТАЛНА ЕЛЕКТРОНИКА Наставна јединица: ОСНОВНИ ЕЛЕКТРОНСКИ

Више

ALIP1_udzb_2019.indb

ALIP1_udzb_2019.indb Razmislimo Kako u memoriji računala prikazujemo tekst, brojeve, slike? Gdje se spremaju svi ti podatci? Kako uopće izgleda memorija računala i koji ju elektronički sklopovi čine? Kako biste znali odgovoriti

Више

Начин вредновања научно-стручног рада кандидата Избор у наставничка звања Наставници универзитета Члан 3. У вредновању научно-стручног рада кандидата

Начин вредновања научно-стручног рада кандидата Избор у наставничка звања Наставници универзитета Члан 3. У вредновању научно-стручног рада кандидата Начин вредновања научно-стручног рада кандидата Избор у наставничка звања Наставници универзитета Члан 3. У вредновању научно-стручног рада кандидата за избор наставника у звање доцента, ванредног и редовног

Више

SPR , IV godina, VHDL – Ispitna pitanja

SPR , IV godina, VHDL – Ispitna pitanja VHDL, SPR, II kolokvijum, priprema, 2016 Napomena: Na kolokvijumu dolazi 1 zadatak (40%) i 1 praktican projekat (60%). Radi se u grupama prema utvrdjenom rasporedu od I kolokvijuma. A. ZADAI Priloziti

Више

Test ispravio: (1) (2) Ukupan broj bodova: 21. veljače od 13:00 do 14:00 Županijsko natjecanje / Osnove informatike Osnovne škole Ime i prezime

Test ispravio: (1) (2) Ukupan broj bodova: 21. veljače od 13:00 do 14:00 Županijsko natjecanje / Osnove informatike Osnovne škole Ime i prezime Test ispravio: () () Ukupan broj bodova:. veljače 04. od 3:00 do 4:00 Ime i prezime Razred Škola Županija Mentor Sadržaj Upute za natjecatelje... Zadaci... Upute za natjecatelje Vrijeme pisanja: 60 minuta

Више

F-6-14

F-6-14 РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ЕКОНОМИЈЕ И РЕГИОНАЛНИХ ОДНОСА ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11 000 Београд, Мике Аласа 14, поштански преградак 34, ПАК 105305 телефон: (011) 3282-736, телефакс: (011)

Више

Microsoft Word - NULE FUNKCIJE I ZNAK FUNKCIJE.doc

Microsoft Word - NULE FUNKCIJE I ZNAK FUNKCIJE.doc NULE FUNKCIJE I ZNAK FUNKCIJE NULE FUNKCIJE su mesta gde grafik seče osu a dobijaju se kao rešenja jednačine y= 0 ( to jest f ( ) = 0 ) Mnogi profesori vole da se u okviru ove tačke nadje i presek sa y

Више

Univerzitet u Beogradu Elektrotehnički fakultet Katedra za energetske pretvarače i pogone ISPIT IZ SINHRONIH MAŠINA (13E013SIM) 1. Poznati su podaci o

Univerzitet u Beogradu Elektrotehnički fakultet Katedra za energetske pretvarače i pogone ISPIT IZ SINHRONIH MAŠINA (13E013SIM) 1. Poznati su podaci o Univerzitet u Beogradu Elektrotehnički akultet Katedra za energetske pretvarače i pogone ISPIT IZ SINHRONIH MAŠINA (13E013SIM) 1. Poznati su podaci o namotaju statora sinhronog motora sa stalnim magnetima

Више

Прилог бр. 1. НАСТАВНО НАУЧНОМ /УМЈЕТНИЧКОМ ВИЈЕЋУ МАШИНСКОГ ФАКУЛТЕТА ИСТОЧНО САРАЈЕВО СЕНАТУ УНИВЕРЗИТЕТА У ИСТОЧНОМ САРАЈЕВУ Предмет: Извјештај ком

Прилог бр. 1. НАСТАВНО НАУЧНОМ /УМЈЕТНИЧКОМ ВИЈЕЋУ МАШИНСКОГ ФАКУЛТЕТА ИСТОЧНО САРАЈЕВО СЕНАТУ УНИВЕРЗИТЕТА У ИСТОЧНОМ САРАЈЕВУ Предмет: Извјештај ком Прилог бр. 1. НАСТАВНО НАУЧНОМ /УМЈЕТНИЧКОМ ВИЈЕЋУ МАШИНСКОГ ФАКУЛТЕТА ИСТОЧНО САРАЈЕВО СЕНАТУ УНИВЕРЗИТЕТА У ИСТОЧНОМ САРАЈЕВУ Предмет: Извјештај комисије о пријављеним кандидатима за избор у академско

Више

Динамика крутог тела

Динамика крутог тела Динамика крутог тела. Задаци за вежбу 1. Штап масе m и дужине L се крајем А наслања на храпаву хоризонталну раван, док на другом крају дејствује сила F константног интензитета и правца нормалног на штап.

Више

Microsoft PowerPoint - NAD IR OS pravila 2017.pptx

Microsoft PowerPoint - NAD IR OS pravila 2017.pptx Нумеричка анализа и дискретна математика 2017/2018 ИР, ОС ванр. проф. др Бранко Малешевић, доц. др Ивана Јововић ванр. проф. др Синиша Јешић, доц. др Наташа Ћировић Настава Курс Нумеричка анализа и дискретна

Више

ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ

ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ Универзитет у Београду, Електротехнички факултет, Катедра за енергетске претвараче и погоне ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ (3Е3ЕНТ) Јул 9. Трофазни уљни енергетски трансформатор са номиналним подацима: 4 V,

Више

Skripte2013

Skripte2013 Chapter 2 Algebarske strukture Preslikivanje f : A n! A se naziva n-arna operacija na skupu A Ako je n =2, kažemo da je f : A A! A binarna operacija na A Kažemo da je operacija f arnosti n, u oznaci ar

Више

Slide 1

Slide 1 Катедра за управљање системима ТЕОРИЈА СИСТЕМА Предавањe 1: Увод и историјски развој теорије система UNIVERSITY OF BELGRADE FACULTY OF ORGANIZATIONAL SCIENCES Катедра за управљање системима Наставници:

Више

9. : , ( )

9.  :  ,    ( ) 9. Динамика тачке: Енергиjа, рад и снага (први део) др Ратко Маретић др Дамир Мађаревић Департман за Техничку механику, Факултет техничких наука Нови Сад Садржаj - Шта ћемо научити (1) 1. Преглед литературе

Више

Matematka 1 Zadaci za vežbe Oktobar Uvod 1.1. Izračunati vrednost izraza (bez upotrebe pomoćnih sredstava): ( ) [ a) : b) 3 3

Matematka 1 Zadaci za vežbe Oktobar Uvod 1.1. Izračunati vrednost izraza (bez upotrebe pomoćnih sredstava): ( ) [ a) : b) 3 3 Matematka Zadaci za vežbe Oktobar 5 Uvod.. Izračunati vrednost izraza bez upotrebe pomoćnih sredstava): ) [ a) 98.8.6 : b) : 7 5.5 : 8 : ) : :.. Uprostiti izraze: a) b) ) a b a+b + 6b a 9b + y+z c) a +b

Више

Grananje u programu predavač: Nadežda Jakšić

Grananje u programu predavač: Nadežda Jakšić Grananje u programu predavač: Nadežda Jakšić u okviru linijske strukture izvršavaju se sve naredbe u okviru razgranate strukture uvek se ispituje neki uslov; u zavisnosti od toga da li je uslov ispunjen

Више

Е Л А Б О Р А Т ЗА РЕДЕФИНИСАЊЕ СТАТУСА ЛАБОРАТОРИЈЕ ФАКУЛТЕТА ЗАШТИТЕ НА РАДУ У НИШУ јануар 2019

Е Л А Б О Р А Т ЗА РЕДЕФИНИСАЊЕ СТАТУСА ЛАБОРАТОРИЈЕ ФАКУЛТЕТА ЗАШТИТЕ НА РАДУ У НИШУ јануар 2019 Е Л А Б О Р А Т ЗА РЕДЕФИНИСАЊЕ СТАТУСА ЛАБОРАТОРИЈЕ ФАКУЛТЕТА ЗАШТИТЕ НА РАДУ У НИШУ јануар 2019 2 Е Л А Б О Р А Т ЗА РЕДЕФИНИСАЊЕ СТАТУСА ЛАБОРАТОРИЈЕ ФАКУЛТЕТА ЗАШТИТЕ НА РАДУ У НИШУ НАЗИВ ЛАБОРАТОРИЈЕ:

Више

ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ

ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ Универзитет у Београду Електротехнички факултет Катедра за енергетске претвараче и погоне ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ (ЕЕНТ) Фебруар 8. Трофазни уљни енергетски трансформатор са номиналним подацима: S =

Више

Универзитет у Београду Економски факултет Катедра за економску политику и развој Јавне финансије 2018/19 УПУТСТВО ЗА ПРИЈАВЉИВАЊЕ НА Е-КУРС ИЗ ПРЕДМЕТ

Универзитет у Београду Економски факултет Катедра за економску политику и развој Јавне финансије 2018/19 УПУТСТВО ЗА ПРИЈАВЉИВАЊЕ НА Е-КУРС ИЗ ПРЕДМЕТ УПУТСТВО ЗА ПРИЈАВЉИВАЊЕ НА Е-КУРС ИЗ ПРЕДМЕТА ЈАВНЕ ФИНАНСИЈЕ Да бисте били у могућности да активно учествујете на настави из предмета Јавне финансије и радите обавезне тестове као део предиспитне обавезе,

Више

Energetski pretvarači 1 Februar zadatak (18 poena) Kondenzator C priključen je paralelno faznom regulatoru u cilju kompenzacije reaktivne sna

Energetski pretvarači 1 Februar zadatak (18 poena) Kondenzator C priključen je paralelno faznom regulatoru u cilju kompenzacije reaktivne sna 1. zadatak (18 poena) Kondenzator C priključen je paralelno faznom regulatoru u cilju kompenzacije reaktivne snage osnovnog harmonika. Induktivnost prigušnice jednaka je L = 10 mh, frekvencija mrežnog

Више

Ee1.ЕЕ.2018/2019.Задаћe: II к Задаће написати руком. Рок за израду до следећег термина предавања (7 дана за последњу задаћу у семестру). УНАПРИЈЕД НАП

Ee1.ЕЕ.2018/2019.Задаћe: II к Задаће написати руком. Рок за израду до следећег термина предавања (7 дана за последњу задаћу у семестру). УНАПРИЈЕД НАП Ee1.ЕЕ.2018/2019.Задаћe: II к Задаће написати руком. Рок за израду до следећег термина предавања (7 дана за последњу задаћу у семестру). УНАПРИЈЕД НАПИСАНЕ ЗАДАЋЕ НОСЕ 2 ПУТА ВИШЕ ПОЕНА!!! 1. Јонлија Ђорђе

Више

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011)

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011) РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 000 Београд, Мике Аласа, ПП:, ПАК: 0 0 телефон: (0) -8-7, телефакс: (0) -8-8 На основу члана 9. став. Закона о општем управном

Више

Техничко решење: Метода мерења реактивне снаге у сложенопериодичном режиму Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аут

Техничко решење: Метода мерења реактивне снаге у сложенопериодичном режиму Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аут Техничко решење: Метода мерења реактивне снаге у сложенопериодичном режиму Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аутори: Иван Жупунски, Небојша Пјевалица, Марјан Урекар,

Више

Satnica.xlsx

Satnica.xlsx ПОНЕДЕЉАК 01.07.2019 А1 А2 2Б 2Б Математика 2 Математика 2 64 46 Дискретна математика Дискретна математика 50 40 2Б Математика 2 40 Дискретна математика 13 Б-РИИ Дискретна математика 6 2М-УПС Рачунарски

Више

My_ST_FTNIspiti_Free

My_ST_FTNIspiti_Free ИСПИТНИ ЗАДАЦИ СУ ГРУПИСАНИ ПО ТЕМАМА: ЛИМЕСИ ИЗВОДИ ФУНКЦИЈЕ ЈЕДНЕ ПРОМЕНЉИВЕ ИСПИТИВАЊЕ ТОКА ФУНКЦИЈЕ ЕКСТРЕМИ ФУНКЦИЈЕ СА ВИШЕ ПРОМЕНЉИВИХ 5 ИНТЕГРАЛИ ДОДАТАК ФТН Испити С т р а н а Лимеси Одредити

Више

Tutoring System for Distance Learning of Java Programming Language

Tutoring System for Distance Learning of Java Programming Language Deklaracija promenljivih Inicijalizacija promenljivih Deklaracija promenljive obuhvata: dodelu simboličkog imena promenljivoj i određivanje tipa promenljive (tip određuje koja će vrsta memorijskog registra

Више

Verovatnoća - kolokvijum 17. decembar Profesor daje dva tipa ispita,,,težak ispit i,,lak ispit. Verovatnoća da student dobije težak ispit je

Verovatnoća - kolokvijum 17. decembar Profesor daje dva tipa ispita,,,težak ispit i,,lak ispit. Verovatnoća da student dobije težak ispit je Verovatnoća - kolokvijum 17. decembar 2016. 1. Profesor daje dva tipa ispita,,,težak ispit i,,lak ispit. Verovatnoća da student dobije težak ispit je 0.8. Ako je ispit težak, verovatnoća da se prvo pitanje

Више

Microsoft Word - III godina - EA - Metodi vjestacke inteligencije

Microsoft Word - III godina - EA - Metodi vjestacke inteligencije Школска година 2018/2019. Предмет Методи вјештачке интелигенције Шифра предмета 2284 Студијски програм Електроенергетика и аутоматика Циклус студија Година студија Семестар Број студената Број група за

Више

Microsoft Word - Projekat iz MIPS-a - simCPU.doc

Microsoft Word - Projekat iz MIPS-a - simCPU.doc UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET KATEDRA ZA ELEKTRONIKU MIKROPROCESORSKI SISTEMI simcpu Mentor Prof. dr. Mile Stojčev Marko Ilić 9921 Nebojša Pejčić 9738 Aleksandar Stojadinović 10085 Bojan Janićijević

Више

Рационални Бројеви Скуп рационалних бројева 1. Из скупа { 3 4, 2, 4, 11, 0, , 1 5, 12 3 } издвој подскуп: а) природних бројева; б) целих броје

Рационални Бројеви Скуп рационалних бројева 1. Из скупа { 3 4, 2, 4, 11, 0, , 1 5, 12 3 } издвој подскуп: а) природних бројева; б) целих броје Рационални Бројеви Скуп рационалних бројева. Из скупа {,,,, 0,,, } издвој подскуп: а) природних бројева; б) целих бројева; в) ненегативних рационалних бројева; г) негативних рационалних бројева.. Запиши

Више

PowerPoint Presentation

PowerPoint Presentation УВОД Дa би рaчунaри нa мрежи могли међусобно да кoмуницирaју и рaзмeњују пoдaткe, пoтрeбнo je: дa сe увeду ПРOТOКOЛИ (утврђeна прaвилa и процедуре за комуникацију) да постоје АДРEСE кoje су jeдинствeнe

Више

Grafovi 1. Posmatrajmo graf prikazan na slici sa desne strane. a) Odrediti skup čvorova V i skup grana E posmatranog grafa. Za svaku granu posebno odr

Grafovi 1. Posmatrajmo graf prikazan na slici sa desne strane. a) Odrediti skup čvorova V i skup grana E posmatranog grafa. Za svaku granu posebno odr Grafovi 1. Posmatrajmo graf prikazan na slici sa desne strane. a) Odrediti skup čvorova V i skup grana E posmatranog grafa. Za svaku granu posebno odrediti njene krajeve. b) Odrediti sledeće skupove: -

Више

F-6-58

F-6-58 САВЕЗНА РЕПУБЛИКА ЈУГОСЛАВИЈА САВЕЗНО МИНИСТАРСТВО ПРИВРЕДЕ И УНУТРАШЊЕ ТРГОВИНЕ САВЕЗНИ ЗАВОД ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11000 Београд, Мике Аласа 14, пошт.фах 384, тел. (011) 32-82-736, телефакс: (011)

Више

Satnica.xlsx

Satnica.xlsx ПОНЕДЕЉАК 24.06.2019 64 46 -РИИ -РИИ -РИИ 50 35 -РИИ 17 РИИ 2 -РИИ Сервисно-оријентисане архитектуре 6 Б-ТЕЛ Оптимални линеарни системи 1 -ЕКМ Нови материјали и технологије 1 -ЕЛК РФ електроника 6 Б-ЕМТ

Више

23. siječnja od 13:00 do 14:00 Školsko natjecanje / Osnove informatike Srednje škole RJEŠENJA ZADATAKA S OBJAŠNJENJIMA Sponzori Medijski pokrovi

23. siječnja od 13:00 do 14:00 Školsko natjecanje / Osnove informatike Srednje škole RJEŠENJA ZADATAKA S OBJAŠNJENJIMA Sponzori Medijski pokrovi 3. siječnja 0. od 3:00 do 4:00 RJEŠENJA ZADATAKA S OBJAŠNJENJIMA Sponzori Medijski pokrovitelji Sadržaj Zadaci. 4.... Zadaci 5. 0.... 3 od 8 Zadaci. 4. U sljedećim pitanjima na pitanja odgovaraš upisivanjem

Више

Microsoft Word - Uputstvo za proveru znanja studenata.doc

Microsoft Word - Uputstvo za proveru znanja  studenata.doc Упутство за проверу знања студената Садржај: 1. ПРЕДМЕТ И ПОДРУЧЈЕ ПРИМЕНЕ 2. ВЕЗЕ СА ДРУГИМ ДОКУМЕНТИМА 3. ТЕРМИНИ И ДЕФИНИЦИЈЕ 4. ПОСТУПАК РАДА 5. ОДГОВОРНОСТ И ОВЛАШЋЕЊА 6. ПРИЛОЗИ Верзија: 1 Ознака:

Више

СТРАХИЊА РАДИЋ КЛАСИФИКАЦИJА ИЗОМЕТРИJА И СЛИЧНОСТИ Према књизи [1], свака изометриjа σ се може представити ком позици - jом неке транслациjе за векто

СТРАХИЊА РАДИЋ КЛАСИФИКАЦИJА ИЗОМЕТРИJА И СЛИЧНОСТИ Према књизи [1], свака изометриjа σ се може представити ком позици - jом неке транслациjе за векто СТРАХИЊА РАДИЋ КЛАСИФИКАЦИJА ИЗОМЕТРИJА И СЛИЧНОСТИ Према књизи [1], свака изометриjа σ се може представити ком позици - jом неке транслациjе за вектор a (коjи може бити и дужине нула) и неке изометриjе

Више

ODE_0 [Compatibility Mode]

ODE_0 [Compatibility Mode] Osnovi digitalne elektronike 2+2+1 Dr Milan Ponjavić Dr Nenad Jovičić Mr Goran Savić http://tnt.etf.bg.ac.rs/~si2ode/ Formiranje ocene 3 x 20 bodova po kolokvijumu 3 domaca zadatka ukupno 20 bodova 2 x

Више