Microsoft PowerPoint - 12a PEK EMT VHDL 1 od 4 - Uvod (2011).ppt [Compatibility Mode]

Величина: px
Почињати приказ од странице:

Download "Microsoft PowerPoint - 12a PEK EMT VHDL 1 od 4 - Uvod (2011).ppt [Compatibility Mode]"

Транскрипт

1 VHDL jezik za opis hardvera VHDL jezik za opis hardvera VHDL jezik za opis hardvera Prof. Dr Predrag Petković Dr Miljana Milić Sadržaj 1. Šta je VHDL? 2. Opis hardvera 3. Signali 4. Osnove VHDL pravopisa 5. Opis osnovnih logičkih operacija 6. Redosled izvršavanja naredbi 7. Stilovi opisa (a) Šta je VHDL? 1 (b) Šta je VHDL? Very high speed integrated circuits Hardware Description Language g Zašto? da se popravi komunikacija medju projektantima koji rade na razvoju istog integrisanog kola. Standardizaciju je iniciralo i finansiralo Ministarstvo odbrane SAD-a (US DoD). 3 4

2 1 (c) Šta je VHDL? 1 (d) Šta je VHDL? Kad je nastao i kako se razvija? VHDL je godine usvojen kao standard: IEEE Std VHDL-93, VHDL AMS (što dolazi od Analogue and Mixed Signals) VHDL-99. ŠTA OMOGUĆAVA? VHDL može da se primenjuje u svim fazama projektovanja: opis, verifikaciju (simulaciju), sintezu i dokumentovanje. Mogućnost jednostavnog opisa projekta na višim nivoima apstrakcije (a) Opis hardvera 2 (b) Opis hardvera Opsti_primerprimer Svaki blok VHDL prepoznaje kao entitet koji se definiše preko ključne reči AD entity. 8 ALE RD WR C1 C2 4 Da bi se entitetu dodelila funkcija koja povezuje stanja na ulaznim i izlaznim portovima potrebno je definisati arhitekturu entiteta. Opis arhitekture počinje ključnom reči architecture. 7 8

3 2 (c) Opis hardvera entity Opsti_primer is port( AD, ALE, RD... WR... C1... C2... ); deklaracija portova end entity ty Opsti _primer; WR 8 Opsti_primer AD ALE RD C1 C2 4 2 (d) Opis hardvera architecture proba of Opsti_primer is.. deklaracija signala. begin.. telo arhitekture. end proba; (e) Opis hardvera 3 (a) Signali Kao što se u elektronici jedna ista funkcija može realizovati na više načina, tako i jednom entitetu može da se pridruži više arhitektura. Obrnuto ne važi jer jedna arhitektura može da obavlja samo funkciju zbog koje je projektovana. Očigledno je struktura VHDL jezika prilagodjena osnovnim strukturama koje se javljaju tokom projektovanja elektronskih kola. 11 Jedan entitet povezuje se sa drugim preko portova. Kroz portove putuju signali, a kako se radi o opisu digitalnihit ih kola, rečč je o digitalnimit i signalima. Zato i definicija signala u VHDL-u ima sve atribute koji se javljaju u realnim digitalnim kolima: Vrednost Tip Mod 12

4 3 (b) Signali Svaki signal nosi informaciju o logičkom stanju ili logičkoj vrednosti std_ logic (standard IEEE 1164): ) vrednost značenje U neinicirani signal (Uninitialized) X jako nepoznato stanje 0 jaka nula 1 jaka jedinica Z visoka impedansa W slabo nepoznato nato stanje (Weak) L slaba nula (Low) H slaba jedinica (High) - nebitno stanje (don t care) 3 (c) Signali Tip signala: kroz jednu žicu, prolazi signal tipa bit std_logic, kroz magistralu,, signal je tipa vektor bitova std_logic_vector (c) Signali 3 (d) Signali Mod signala: ulazni in Entitet može samo da čita sadržaj ovog signala, a ne može oemu dodeljivati novu vrednost ved izlazni out vrednost mu se dodeljuje unutar entiteta, a njegov sadržaj ne može da se koristi za pobudu drugih ulaza istog entiteta bidirekcioni inout Redosled bitova u signalu tipa std_logic_vector: MSB levo: std_logic_vector(3 downto 0) MSB desno: std_logic_vector (0 to 3)

5 3 (e) Signali 4(a) Osnove VHDL pravopisa Redosled navođenja : naziv porta : mod tip in in in in Opsti_primer entity Opsti_primerprimer is port ( AD: in std_logic; ALE, RD: in std_logic; WR: in std_logic_vector (7 downto 0); C1: out std_logic_vector (3 downto 0); C2: inout std_ logic _ vector ); end entity Opsti_primer; 8 AD ALE RD WR C1 C2 out 4 inout Svaki jezik karakteriše skup sintaksnih pravila za pisanje kojih se korisnici moraju pridržavati. Ta pravila mogu da se podele u dve osnovne kategorije: - način označavanja pojmova - format navodjenja pojmova (b) Osnove VHDL pravopisa 4(c) Osnove VHDL pravopisa Način označavanja pojmova a. Ne pravi se razlika izmedju malih i velikih slova; b. Si Svi nazivi iimoraju da počnuč slovnimznakom c. Koristite samo slova (a-z i A-Z), brojeve (0-9) i podvučenu crtu (_ ) d. NE koristite znakove interpunkcije!,?,.,,,i.t.d. i t d e. NE koristite sukcesivno dva simbola ( _ ) Ispravne oznake Prvi_primer Opsti_Primer0 OPSTI_PRIMER_9PRIMER Opsti_Primer_0 Neispravne oznake Razlog 1_Primer prekršeno pravilo b Opsti_#1_primer prekršeno pravilo c Opsti!_primerprimer prekršeno pravilo d Opsti primer prekršeno pravilo e 19 20

6 4(d) Osnove VHDL pravopisa Način označavanja pojmova (nastavak) f. NE mogu dva različita pojma u okviru istog entiteta ili arhitekture imati ista imena, odnosno oznake g. Postoje rezervisane reči koje se NE SMEJU koristiti za označavanje pojmova pj (date u svakom priručniku za VHDL) rezervisane reči 4(e) Osnove VHDL pravopisa (f) Osnove VHDL pravopisa Način označavanja pojmova (nastavak) h. Linijski komentari počinju dvostrukim minus znakom -- 4(g) Osnove VHDL pravopisa Način označavanja pojmova (nastavak) i. Ne postoji ograničenje u broju karaktera kojim se može označiti neki pojam IPAK ne treba preterivati!!! 23 Međutim neki programi za sintezu prepoznaju najviše 32 karaktera. Zato se preporučuje da broj karaktera u jednoj oznaci ne prelazi 32. Dobro je da oznake budu dovoljno duge da ukažu na pravo značenje, ali da ne budu i predugačke. Dobra je praksa da se signali nazovu clock, data ili global_input, anec, d, ili g. 24

7 4(h) Osnove VHDL pravopisa 4(i) Osnove VHDL pravopisa Format pisanja treba sagledati pojedinih celina. Celinu čini: jedna naredba, struktura naredbi ili grupa naredbi. sa stanovišta opisa Pod jednom naredbom podrazumevamo opis koji počinje nekom od rezervisanih reči ; opis aktivnosti koja označava dodeljivanje vrednosti nekom signalu (j) Osnove VHDL pravopisa 4(k) Osnove VHDL pravopisa strukturu naredbi čini više povezanih naredbi sa jasnim semantičkimznačenjem. Primer if...then...elseif...else struktura: if uslov1 then akcija1; elseif uslov2 then akcija2; else akcija3; kij3 end if; Grupa naredbi obično počinje: ključnom rečju, imenom bloka ili labelom (oznakom), može da sadrži: naredbe kojima se deklarišu promenljive koje se javljaju u toj grupi, ključnu reč za početak opisa tela grupe begin, telo i ključnu reč end kojom se opis grupe naredbi završava 27 28

8 4(l) Osnove VHDL pravopisa - Svaka logička celina završava se znakom ;. - U okviru jedne logičke celine VHDL koristi slobodni format za pisanje koda. Primer: if uslov1 then akcija1; ; --nacin 1 5 (a) Opis osnovnih logičkih operacija - Pored ostalog VHDL podržava korišćenje osnovnih logičkih operatora nad signalima tipa std_logic. if uslov1 then akcija1; --nacin 2 if uslov1 then akcija1; ; -- nacin (b) Opis osnovnih logičkih operacija 5 (c) Opis osnovnih logičkih operacija - Dodeljivanje logičke vrednosti nekom signalu ili portu označava se simbolom: <= Operacije sa većim brojem signala, npr. a i b može da seiskaženasledeći ikž ldćinačin: rezultat <= a AND b; -OperatorNOTimanajveći prioritet,doksu svi ostali operatori istog prioriteta. Redosled njihovog izvršavanja određuje se redosledom navođenja u naredbi. Tako u naredbi: rezultat <= a AND b OR c XOR d; izvrši se najpre AND operacija, zatim OR i na kraju XOR, što može da se iskaže kao (((a AND b) OR c) XOR d)

9 Međutim u opisu: 5 (c) Opis osnovnih logičkih operacija rezultat <= a AND NOT b OR c XOR d; redosled izvršavanja operacija jeste (((a AND (NOT b)) OR c) XOR d). 5 (c) Opis osnovnih logičkih operacija Redosled izvršavanja operatora kontroliše se upotrebom zagrada: rezultat <= (a AND b) OR (c XOR d); Najpre se obave AND i XOR operacija, a zatim OR. Da bi se povećala čitljivost koda, preporučuje se korišćenje zagrada (a) Redosled izvršavanja naredbi 6(b) Redosled izvršavanja naredbi Osnovna ideja: VHDL je namenjen za opis ponašanja u digitalnim sistemima. Aktivnosti u hardveru odvijaju se, uglavnom, paralelno, konkurentno; u opisu arhitekture nekog entiteta primenjuje se ista logika. Arhitektura može da sadrži više logičkih celina a da signali iz jedne ne utiču na stanja u ostalim i obrnuto. U tim slučajevima signali i dogadjaji iz jedne celine ne utiču na dogadjaje u ostalima, odnosno prostiru se nezavisno, konkurentno, t.j. paralelno u vremenu

10 6(c) Redosled izvršavanja naredbi 6(d) Redosled izvršavanja naredbi VHDL podržavadvaosnovnametodakroz koje se signalima unutar arhitekture dodeljuju vrednosti. To su: procesi (process) i konkurentna dodela vrednosti signalima. U jednom istom trenutku procesi i konkurentna dodela vrednosti signalima obavlja se paralelno. Zato i redosled njihovog navodjenja u okviru opisa arhitekture nije važan. unutar arhitekture konkurentno (paralelno) (e) Redosled izvršavanja naredbi 6(f) Redosled izvršavanja naredbi Primer: architecture proba of Opsti_primer is begin c2 <= ad OR ale; c1(0) <= rd AND wr(0); seq: process (wr(7)) begin... end process seq; end architecture proba; = architecture proba of Opsti_primer is begin c2 <= ad OR ale; seq: process (wr(7)) begin... end process seq; c1(0) <= rd AND wr(0); end proba; architecture 39 Postoji potreba da se opišu i sekvencijalni procesi (u kojima je redosled dodeljivanja vrednosti signala sekvencionalan). Zato je ostavljena mogućnost da se unutar grupe naredbi koja se zove PROCES (PROCESS) vrednosti promenljivima dodeljuju u redosledu po kome su naredbe navedene. 40

11 6(g) Redosled izvršavanja naredbi 6(h) Redosled izvršavanja naredbi unutar procesa sekvencijalno (redno) Zato je redosled navodjenja naredbi unutar procesa veoma bitan Primer: architecture proba of Opsti_primerprimer is begin seq: process (ad, ale, rd, wr) begin c2 <= ad OR ale; c2 <= rd AND wr(0); architecture proba of Opsti_primerprimer is begin seq: process (ad, ale, rd, wr) begin c2 <= rd AND wr(0); c2 <= ad OR ale; end process; end proba; end process; end proba; (i) Redosled izvršavanja naredbi 6(j) Redosled izvršavanja naredbi Proces Generalno gledano, naredbe u procesu, pored sekvencijalnog izvršavanja, karakterišu još dve važne osobine: - proces traje u beskonačnoj petlji ukoliko ne dobije zahtev da se zaustavi; - proces se zaustavlja naredbom čekanja (wait), dok se vrednost nekog od signala ne promeni. Proces 43 44

12 Proces 6(k) Redosled izvršavanja naredbi Svaki proces treba da nosi jedinstvenu oznaku labelu koja se završava sa :. Ona je opciona, ali se preporučuje naročito sa stanovišta sinteze. Signali koji se generišu automatskom sintezom sadržaće i ime procesa iz koga su proistekli čime se olakšava tumačenje celog projekta, naročito u fazi otkrivanja potencijalnih grešaka. 45 Proces 6(l) Redosled izvršavanja naredbi Iza oznake (labele) procesa sledi ključna reč process. 46 6(m) Redosled izvršavanja naredbi 6(n) Redosled izvršavanja naredbi Proces Zatim se navodi lista signala na koje je proces osetljiv. Lista signala navodi se između malih zagrada, a oznake pojedinih signala odvojene su zapetama. Proces Ovaj oblik podrazumeva da jedan proces može da počne samo kada nastane promena u nekom od signala koji se u vidu liste navode na početku deklaracije proces

13 6(o) Redosled izvršavanja naredbi Proces Ovakav opis ekvivalentan je slučaju da se kao poslednje naredbe u procesu nalaze one koje nalažu čekanje sve dok ne dođe do promene stanja bilo kog od signala na koje je proces osetljiv. 6(p) Redosled izvršavanja naredbi Proces, kao i arhitektura, sadrži polje za deklarisanje elemenata (npr. promenljivih) lokalnih za taj proces 49 (ALI lokalni signali NE SMEJU da se deklarišu u okviru tela process-a a tj. nakon ključne reči begin). 50 6(r) Redosled izvršavanja naredbi 6(s) Redosled izvršavanja naredbi Centralno polje zauzima telo procesa u kome se navode naredbe po striktno sekvencijalnom redosledu. Opis procesa završava se sa end process. Opciono može da se doda ime procesa što se uglavnom i preporučuje - procesa

14 7(a) Stilovi opisa projekta 7(b) Stilovi opisa projekta VHDL podržava opis projekata na: - algoritamskom nivou i - nivou logičkih jd jednačina. Sobziromdaje VHDL i razvijan sa ciljem da se efikasno opišu složena kola, rezultujući kôd karakteriše jezgrovitost koja proističe iz hijerarhijskog pristupa dekompoziciji projekta. Postoje ti tri stila opisa projekta jkt u VHDL-u. To su strukturni opis (structural) opis ponašanja (behavioral) opis toka podataka (dataflow) (c) Stilovi opisa projekta 7(d) Stilovi opisa projekta Primer: Rezultat =(a b) c a b c Entitet Primer_Stil_opisa a) Rezultat entity Primer_Stil_opisa is port ( a: in std_ logic; b: in std_logic; c: in std_logic; Rezultat: out std_logic ); end entity Primer_Stil_opisa; Strukturni opis: Entitet Primer_Stil_opisa _ Arhitektura a o1 Rezultat b XOR2 XOR2 c Strukturni_opis architecture Strukturni_opis of Primer_Stil_opisa is signal o1: std_logic; --deklaracija -- internih signala begin u1:xor2 port map ( a => I1, b => I2, o1 => Y); -- telo u2:xor2 port map ( o1 => I1, c => I2, Rezultat => Y); end architecture Strukturni_opis; 55 56

15 Opis ponašanja: 7(e) Stilovi opisa projekta architecture Opis_Ponasanja of Primer_Stil_opisa is XOR_od_3: process (a, b, c) -- imenovanje (labeliranje) procesa -- nije neophodno, ali je korisno begin if (((a XOR b) XOR c) = '1') then Rezultat <= '1'; else Rezultat <= '0'; end if; end process XOR_od_3; _ end architecture Opis_Ponasanja; Opis toka podataka: 7(f) Stilovi opisa projekta architecture Dataflow of Primer_Stil_opisa is signal begin o1: std_logic; -- deklaracija -- internog signala o1 <= a XOR b; Rezultat <= o1 XOR c; end architecture Dataflow; VHDL jezik za opis hardvera VHDL jezik za opis hardvera Šta treba da znamo? Elementarno (za potpis) Šta omogućava VHDL? Osnovna (za 6) 1. Koji par ključnihč reči opisuje osnovneosobine hardvera u VHDLu? 2. Stilovii opisa kolauvhdlu. Šta treba da znamo? Ispitna pitanja a) Šta je i kako k se definiše iš port? b) Šta su i kako se definišu signali? c) Oznaka redosleda bitova kod tipa std_logic_vector? d) Pravila za označavanje pojmova. e) Dodeljivanje vrednosti signalima u okviru procesa i arhitekture. f) Sinteksna pravila za za opis procesa

16 VHDL jezik za opis hardvera Sledećeg časa VHDL језик за опис хардвера Наш први пројекат Структурни С опис Компоненте Библиотекеб Литература: П. Петковић, М. Милић, Д. Мирковић, VHDL i VHDL- AMS подршка пројектовању рј електронских кола и система, Поглавље 2 VHDL кôд прилагођен синтези, стр. (18-34)) 61

17 Page:2 / 3

18 Page:3 / 3

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode]

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode] ij Cilj: Dobiti što više informacija o ponašanju digitalnih kola za što kraće vreme. Metod: - Detaljni talasni oblik signala prikazati samo na nivou logičkih stanja. - Simulirati ponašanje kola samo u

Више

Inženjering informacionih sistema

Inženjering informacionih sistema Fakultet tehničkih nauka, Novi Sad Inženjering informacionih sistema Dr Ivan Luković Dr Slavica Kordić Nikola Obrenović Milanka Bjelica Dr Jelena Borocki Dr Milan Delić UML UML (Unified Modeling Language)

Више

SPR , IV godina, VHDL – Ispitna pitanja

SPR , IV godina, VHDL – Ispitna pitanja VHDL, SPR, II kolokvijum, priprema, 2016 Napomena: Na kolokvijumu dolazi 1 zadatak (40%) i 1 praktican projekat (60%). Radi se u grupama prema utvrdjenom rasporedu od I kolokvijuma. A. ZADAI Priloziti

Више

Logicko projektovanje racunarskih sistema I

Logicko projektovanje racunarskih sistema I POKAZNA VEŽBA 10 Strukture za računanje Potrebno predznanje Urađena pokazna vežba 8 Poznavanje aritmetičkih digitalnih sistema i aritmetičko-logičkih jedinica Osnovno znanje upravljačkih jedinica digitalnih

Више

Razvoj programa, Code::Blocks, struktura programa, printf, scanf, konverzioni karakteri predavač: Nadežda Jakšić

Razvoj programa, Code::Blocks, struktura programa, printf, scanf, konverzioni karakteri predavač: Nadežda Jakšić Razvoj programa, Code::Blocks, struktura programa, printf, scanf, konverzioni karakteri predavač: Nadežda Jakšić projektni zadatak projektovanje programa (algoritmi) pisanje programskog koda, izvorni kod,

Више

Microsoft Word - 11 Pokazivaci

Microsoft Word - 11 Pokazivaci Pokazivači U dosadašnjem radu smo imali prilike da koristimo promenljive koje smo deklarisali na početku nekog bloka. Prilikom deklaracije promenljiva dobija jedinstveni naziv i odgovarajući prostor u

Више

Увод у организацију и архитектуру рачунара 1

Увод у организацију и архитектуру рачунара 1 Увод у организацију и архитектуру рачунара 2 Александар Картељ kartelj@matf.bg.ac.rs Напомена: садржај ових слајдова је преузет од проф. Саше Малкова Увод у организацију и архитектуру рачунара 2 1 Секвенцијалне

Више

I grupa 1. Napisati program koji izračunava i ispisuje zbir 4 najveća od pet brojeva unetih sa standardnog ulaza. ulaz izlaz Analiza: 1.

I grupa 1. Napisati program koji izračunava i ispisuje zbir 4 najveća od pet brojeva unetih sa standardnog ulaza. ulaz izlaz Analiza: 1. I grupa 1. Napisati program koji izračunava i ispisuje zbir 4 najveća od pet brojeva unetih sa standardnog ulaza. ulaz izlaz 3 2 1 4 5 14 Analiza: 1. Odredimo zbir svih 5 unesenih brojeva (i sačuvamo u

Више

TEORIJA SIGNALA I INFORMACIJA

TEORIJA SIGNALA I INFORMACIJA Multiple Input/Multiple Output sistemi MIMO sistemi Ulazi (pobude) Izlazi (odzivi) u 1 u 2 y 1 y 2 u k y r Obrada=Matematički model Načini realizacije: fizički sistemi (hardware) i algoritmi (software)

Више

Microsoft Word - 02 Elementi programskog jezika Pascal

Microsoft Word - 02 Elementi programskog jezika Pascal Elementi programskog jezika Pascal Osnovni elementi jezika Osnovni simboli U programskom jeziku Pascal sve konstrukcije se grade od skupa osnovnih simbola jezika koji čine slova, cifre i specijalni znaci.

Више

Teorija skupova - blog.sake.ba

Teorija skupova - blog.sake.ba Uvod Matematika je jedan od najomraženijih predmeta kod većine učenika S pravom, dakako! Zapitajmo se šta je uzrok tome? Da li je matematika zaista toliko teška, komplikovana? Odgovor je jednostavan, naravno

Више

LAB PRAKTIKUM OR1 _ETR_

LAB PRAKTIKUM OR1 _ETR_ UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA, TELEKOMUNIKACIJE I RAČUNARI PREDMET: OSNOVE RAČUNARSTVA 1 FOND ČASOVA: 2+1+1 LABORATORIJSKA VJEŽBA BROJ 1 NAZIV: REALIZACIJA

Више

Microsoft Word - SIORT1_2019_K1_resenje.docx

Microsoft Word - SIORT1_2019_K1_resenje.docx I колоквијум из Основа рачунарске технике I СИ- 208/209 (24.03.209.) Р е ш е њ е Задатак f(x, x 2, x 3 ) = (x + x x ) x (x x 2 + x ) + x x 2 x 3 f(x, x 2, x 3 ) = (x + x x ) (x x + (x )) 2 + x + x x 2

Више

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x I колоквијум из Основа рачунарске технике I СИ- / (...) Р е ш е њ е Задатак Тачка А Потребно је прво пронаћи вредности функција f(x, x, x ) и g(x, x, x ) на свим векторима. f(x, x, x ) = x x + x x + x

Више

Model podataka

Model podataka Fakultet organizacionih nauka Uvod u informacione sisteme Doc. Dr Ognjen Pantelić Modeliranje podataka definisanje strategije snimanje postojećeg stanja projektovanje aplikativno modeliranje implementacija

Више

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на след

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на след Испит из Основа рачунарске технике OO - / (...) Р е ш е њ е Задатак Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на следећој слици: S R Асинхрони RS флип флопреализован помоћу НИЛИ кола је

Више

PASCAL UVOD 2 II razred gimnazije

PASCAL UVOD 2 II razred gimnazije PASCAL UVOD 2 II razred gimnazije Upis-ispis 1. Upis Read(a,b); --u jednom redu Readln(a,b); -- nakon upisa prelazi se u novi red 2. Ispis Write(a,b); -- u jednom redu Writeln(a,b); --nakon ispisa prelazi

Више

P1.3 Projektovanje makroasemblera

P1.3 Projektovanje makroasemblera ПРОЈЕКТОВАЊЕ МАКРОАСЕМБЛЕРА Макроасемблер Потребна проширења асемблера 1 МАКРОАСЕМБЛЕР Макроасемблер преводи полазни програм написан на макроасемблерском језику у извршиви машински програм. Приликом израде

Више

P11.3 Analiza zivotnog veka, Graf smetnji

P11.3 Analiza zivotnog veka, Graf smetnji Поједностављени поглед на задњи део компајлера Међурепрезентација (Међујезик IR) Избор инструкција Додела ресурса Распоређивање инструкција Инструкције циљне архитектуре 1 Поједностављени поглед на задњи

Више

Microsoft PowerPoint - 12 PAIK Planiranje rasporeda modula (2016) [Compatibility Mode]

Microsoft PowerPoint - 12 PAIK Planiranje rasporeda modula  (2016) [Compatibility Mode] Integrisana kola sa mešovitim signalima Projektovanje analognih integrisanih kola Prof. Dr Predrag Petković, Dejan Mirković Katedra za elektroniku Elektronski fakultet Niš Sadržaj: I. Uvod II. Lejaut analognih

Више

Microsoft Word - CAD sistemi

Microsoft Word - CAD sistemi U opštem slučaju, se mogu podeliti na 2D i 3D. 2D Prvo pojavljivanje 2D CAD sistema se dogodilo pre više od 30 godina. Do tada su inženjeri koristili table za crtanje (kulman), a zajednički jezik komuniciranja

Више

Tutoring System for Distance Learning of Java Programming Language

Tutoring System for Distance Learning of Java Programming Language Niz (array) Nizovi Niz je lista elemenata istog tipa sa zajedničkim imenom. Redosled elemenata u nizovnoj strukturi je bitan. Konkretnom elementu niza pristupa se preko zajedničkog imena niza i konkretne

Више

P1.2 Projektovanje asemblera

P1.2 Projektovanje asemblera ПРОЈЕКТОВАЊЕ АСЕМБЛЕРА Асемблер Модули асемблера 1 Дефинисање новог лингвистичког нивоа превођењем Потребан преводилац алат који преводи програм написан на једном језику (на једном лингвистичком нивоу)

Више

Pojačavači

Pojačavači Programiranje u fizici Prirodno-matematički fakultet u Nišu Departman za fiziku dr Dejan S. Aleksić Programiranje u fizici dr Dejan S. Aleksić, vanredni profesor Kabinet 307 (treći sprat), lab. za elektroniku

Више

Microsoft PowerPoint - GR_MbIS_12_IDEF

Microsoft PowerPoint - GR_MbIS_12_IDEF Menadžment poslovnih informacionih sistema - 12 metode modeliranja funkcija pripremila Doc. dr Gordana Radić Integfated DEFinition Definicija: je metoda (jezik) modeliranja bazirana je na kombinaciji grafike

Више

Microsoft PowerPoint - DAC.ppt [Compatibility Mode]

Microsoft PowerPoint - DAC.ppt [Compatibility Mode] Analogne i digitalne velicine Analogne veličine su kontinualne po vremenu i amplitudi. Digitalne veličine se predstavljaju nizom brojeva. Svaki broj predstavlja jedan odbirak u vremenu. Odbirak ima konačnu

Више

Logičke izjave i logičke funkcije

Logičke izjave i logičke funkcije Logičke izjave i logičke funkcije Građa računala, prijenos podataka u računalu Što su logičke izjave? Logička izjava je tvrdnja koja može biti istinita (True) ili lažna (False). Ako je u logičkoj izjavi

Више

POSLOVNI INFORMACIONI SISTEMI I RA^UNARSKE

POSLOVNI INFORMACIONI SISTEMI  I RA^UNARSKE ZNAČAJ RAČUNARSKIH KOMUNIKACIJA U BANKARSKOM POSLOVANJU RAČUNARSKE MREŽE Računarske mreže su nastale kombinacijom računara i telekomunikacija dve tehnologije sa veoma različitom tradicijom i istorijom.

Више

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећ

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећ Испит из Основа рачунарске технике OO - 27/2 (9.6.2.) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећој слици: S Q R Q Асинхрони RS флип флопреализован помоћу НИ

Више

ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera

ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera CILJ VEŽBE Cilj ove vežbe je da se studenti kreiranjem

Више

Орт колоквијум

Орт колоквијум Испит из Основа рачунарске технике - / (6.6.. Р е ш е њ е Задатак Комбинациона мрежа има пет улаза, по два за број освојених сетова тенисера и један сигнал који одлучује ко је бољи уколико је резултат

Више

Algoritmi i arhitekture DSP I

Algoritmi i arhitekture DSP I Univerzitet u Novom Sadu Fakultet Tehničkih Nauka Katedra za računarsku tehniku i međuračunarske komunikacije Algoritmi i arhitekture DSP I INTERNA ORGANIACIJA DIGITALNOG PROCESORA A OBRADU SIGNALA INTERNA

Више

Microsoft PowerPoint - 13 PIK (Mentor Graphic ASIC).ppt

Microsoft PowerPoint - 13 PIK (Mentor Graphic ASIC).ppt Projektovanje integrisanih kola Delimično projektovanje po narudžbini Sadržaj: Sadržaj: I. I. Uvod Uvod - sistem projektovanja II. II. CMOS Analiza Proces kola primenom računara III. III. Potpuno Optimizacija

Више

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i za generisanje željenih izlaznih signala (slika 1).

Више

Tutoring System for Distance Learning of Java Programming Language

Tutoring System for Distance Learning of Java Programming Language Deklaracija promenljivih Inicijalizacija promenljivih Deklaracija promenljive obuhvata: dodelu simboličkog imena promenljivoj i određivanje tipa promenljive (tip određuje koja će vrsta memorijskog registra

Више

Microsoft PowerPoint - 03-Slozenost [Compatibility Mode]

Microsoft PowerPoint - 03-Slozenost [Compatibility Mode] Сложеност алгоритама (Програмирање 2, глава 3, глава 4-4.3) Проблем: класа задатака истог типа Велики број различитих (коректних) алгоритама Величина (димензија) проблема нпр. количина података које треба

Више

Microsoft PowerPoint - Topic04-Serbian.ppt

Microsoft PowerPoint - Topic04-Serbian.ppt Tema 4 Osnovni koncepti za opis razvoja softvera DAAD Project Joint Course on Software Engineering Humboldt University Berlin, University of Novi Sad, University of Plovdiv, University of Skopje, University

Више

Projektovanje digitalnih sistema

Projektovanje digitalnih sistema Projektovanje digitalnih sistema Metodologije dizajna Metodologije dizajna Odozgo ka dolje (top-down) Definiše se blok najvišeg nivoa i identifikuju se manji blokovi neophodni za njegovu implementaciju

Више

Microsoft PowerPoint - 13-Funkcije_2.ppt [Compatibility Mode]

Microsoft PowerPoint - 13-Funkcije_2.ppt [Compatibility Mode] Osnove programiranja Funkcije - Metode Prenos parametara Po vrednosti Po referenci Po izlazu Sadržaj Opseg važenja promenljive u drugim strukturama Rekurzije Prenos parametara Metoda može vratiti isključivo

Више

1

1 Podsetnik: Statističke relacije Matematičko očekivanje (srednja vrednost): E X x p x p x p - Diskretna sl promenljiva 1 1 k k xf ( x) dx E X - Kontinualna sl promenljiva Varijansa: Var X X E X E X 1 N

Више

PROMENLJIVE, TIPOVI PROMENLJIVIH

PROMENLJIVE, TIPOVI PROMENLJIVIH PROMENLJIVE, TIPOVI PROMENLJIVIH Šta je promenljiva? To je objekat jezika koji ima ime i kome se mogu dodeljivati vrednosti. Svakoj promenljivoj se dodeljuje registar (memorijska lokacija) operativne memorije

Више

Programski jezik QBasic Kriteriji ocjenjivanja programiranje(b) - QBasic razred 42

Programski jezik QBasic Kriteriji ocjenjivanja programiranje(b) - QBasic razred 42 Kriteriji ocjenjivanja programiranje(b) - QBasic 5. - 8. razred 42 5. RAZRED - prisjeća sa pojmova: algoritam, algoritma slijeda i grananja, dijagrama toka, te ulaznih i izlaznih jedinica, ne shvaća njihovo

Више

Programski jezik QBasic Kriteriji ocjenjivanja programiranje(b) - QBasic razred 42

Programski jezik QBasic Kriteriji ocjenjivanja programiranje(b) - QBasic razred 42 Kriteriji ocjenjivanja programiranje(b) - QBasic 5. - 8. razred 42 5. RAZRED - prisjeća sa pojmova: algoritam, algoritma slijeda i grananja, dijagrama toka, te ulaznih i izlaznih jedinica, ne shvaća njihovo

Више

Орт колоквијум

Орт колоквијум II колоквијум из Основа рачунарске технике I - 27/28 (.6.28.) Р е ш е њ е Задатак На улазе x, x 2, x 3, x 4 комбинационе мреже, са излазом z, долази четворобитни BCD број. Ако број са улаза при дељењу

Више

Slide 1

Slide 1 Катедра за управљање системима ТЕОРИЈА СИСТЕМА Предавањe 1: Увод и историјски развој теорије система UNIVERSITY OF BELGRADE FACULTY OF ORGANIZATIONAL SCIENCES Катедра за управљање системима Наставници:

Више

Microsoft PowerPoint - C-4-1

Microsoft PowerPoint - C-4-1 Pregled iskaza u C-u Izraz; Iskaz dodele, serijski komponovani iskaz; blok Uslovni iskazi i izrazi; složeno grananje Iterativni iskazi Iskaz dodele Promena vrednosti a = Ψ; Izračunava vrednost izraza Ψ,

Више

СТЕПЕН појам и особине

СТЕПЕН појам и особине СТЕПЕН појам и особине Степен чији је изложилац природан број N R \ 0 изложилац (експонент) основа степен Особине: m m m m : m m : : Примери. 8 4 7 4 5 4 4 5 6 :5 Важно! 5 5 5 5 5 55 5 Основа је број -5

Више

Osnovi programiranja Beleške sa vežbi Smer Računarstvo i informatika Matematički fakultet, Beograd Jelena Tomašević i Sana Stojanović November 7, 2005

Osnovi programiranja Beleške sa vežbi Smer Računarstvo i informatika Matematički fakultet, Beograd Jelena Tomašević i Sana Stojanović November 7, 2005 Osnovi programiranja Beleške sa vežbi Smer Računarstvo i informatika Matematički fakultet, Beograd Jelena Tomašević i Sana Stojanović November 7, 2005 2 Sadržaj 1 5 1.1 Specifikacija sintakse programskih

Више

PowerPoint Presentation

PowerPoint Presentation Prof. dr Pere Tumbas Prof. dr Predrag Matkovid Identifikacija i izbor projekata Održavanje sistema Inicijalizacija projekata i planiranje Implementacija sistema Dizajn sistema Analiza sistema Faze životnog

Више

Test ispravio: (1) (2) Ukupan broj bodova: 21. veljače od 13:00 do 14:00 Županijsko natjecanje / Osnove informatike Osnovne škole Ime i prezime

Test ispravio: (1) (2) Ukupan broj bodova: 21. veljače od 13:00 do 14:00 Županijsko natjecanje / Osnove informatike Osnovne škole Ime i prezime Test ispravio: () () Ukupan broj bodova:. veljače 04. od 3:00 do 4:00 Ime i prezime Razred Škola Županija Mentor Sadržaj Upute za natjecatelje... Zadaci... Upute za natjecatelje Vrijeme pisanja: 60 minuta

Више

Ukupno bodova:

Ukupno bodova: Agencija za odgoj i obrazovanje Hrvatska zajednica tehničke kulture 56. ŽUPANIJSKO NATJECANJE MLADIH TEHNIČARA 204. PISANA PROVJERA ZNANJA 8. RAZRED Zaporka učenika: ukupan zbroj bodova pisanog uratka

Више

УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке Писмени део испита из предмета Увод у рачунарство 1. [7 пое

УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке Писмени део испита из предмета Увод у рачунарство 1. [7 пое УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке 30.06.2018. Писмени део испита из предмета Увод у рачунарство 1. [7 поена] Методом МакКласкија минимизарити систем прекидачких

Више

Microsoft PowerPoint - OOPpredavanja05 [Compatibility Mode]

Microsoft PowerPoint - OOPpredavanja05 [Compatibility Mode] OBJEKTNO ORIJENTISANO PROGRAMIRANJE PREDAVANJE 5 OBJEKTI U INTERAKCIJI Miloš Kovačević Đorđe Nedeljković 1 /25 OSNOVNI KONCEPTI - Abstrakcija - Modularizacija - Objektne reference - Klasni dijagram - Objektni

Више

Microsoft PowerPoint - PRI2014 KORIGOVANO [Compatibility Mode]

Microsoft PowerPoint - PRI2014 KORIGOVANO [Compatibility Mode] 1. Broj bitova koji se jednovremeno prenosi i obrađuje unutar procesora naziva se: a) radni takt b) procesorski kod c) procesorska reč d) procesorski takt 1. Broj bitova koji se jednovremeno prenosi i

Више

Microsoft PowerPoint - Bitovi [Compatibility Mode]

Microsoft PowerPoint - Bitovi [Compatibility Mode] Оператори над битовима (Јаничић, Марић: Програмирање 2, тачка 5.6) Оператори за рад са појединачним битовима Само на целобројне аргументе: ~ битовска негација & битовска конјункција (и) битовска (инклузивна)

Више

Microsoft PowerPoint - 01 PEK EMT Uvod (2013).ppt [Compatibility Mode]

Microsoft PowerPoint - 01 PEK EMT Uvod (2013).ppt [Compatibility Mode] Projektovanje elektronskih kola Prof. o.dr Predrag Petković, dr Miljana Milić Katedra za elektroniku Elektronski fakultet Niš 8.02.203. Projektovanje elektronskih kola Literatura: V. Litovski Projektovanje

Више

Slide 1

Slide 1 Катедра за управљање системима ТЕОРИЈА СИСТЕМА Предавањe 2: Основни појмови - систем, модел система, улаз и излаз UNIVERSITY OF BELGRADE FACULTY OF ORGANIZATIONAL SCIENCES План предавања 2018/2019. 1.

Више

Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР Школска 2018 /2019. Назив предмета: Информатика и рачунарство Разред: 5. Недељни број часова

Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР Школска 2018 /2019. Назив предмета: Информатика и рачунарство Разред: 5. Недељни број часова Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР јединице 1. 1. Увод у информатику и рачунарство 1. 2. Oрганизација података на рачунару 1. 3. Рад са текстуалним документима 1. 4. Форматирање

Више

1 Konusni preseci (drugim rečima: kružnica, elipsa, hiperbola i parabola) Definicija 0.1 Algebarska kriva drugog reda u ravni jeste skup tačaka opisan

1 Konusni preseci (drugim rečima: kružnica, elipsa, hiperbola i parabola) Definicija 0.1 Algebarska kriva drugog reda u ravni jeste skup tačaka opisan 1 Konusni preseci (drugim rečima: kružnica, elipsa, hiperbola i parabola) Definicija 0.1 Algebarska kriva drugog reda u ravni jeste skup tačaka opisan jednačinom oblika: a 11 x 2 + 2a 12 xy + a 22 y 2

Више

Microsoft PowerPoint - Programski_Jezik_C_Organizacija_Izvornog_Programa_I_Greske [Compatibility Mode]

Microsoft PowerPoint - Programski_Jezik_C_Organizacija_Izvornog_Programa_I_Greske [Compatibility Mode] Programski jezik C organizacija izvornog programa Prevođenje Pisanje programa izvorni program Prevođenje programa izvršni program Izvršavanje programa rezultat Faze prevođenja Pretprocesiranje Kompilacija

Више

Microsoft Word - Odluka o osnovnim elementima naloga za placanje _3_.doc

Microsoft Word - Odluka o osnovnim elementima naloga za placanje _3_.doc Na osnovu člana 44 stav 2 tačka 3 Zakona o Centralnoj banci Crne Gore ("Službeni list Crne Gore", broj 40/10, 46/10 i 06/13) i člana 66 Zakona o platnom prometu ("Službeni list Crne Gore", broj 62/13),

Више

Microsoft PowerPoint - 10-Jednodimenzionalni nizovi.ppt [Compatibility Mode]

Microsoft PowerPoint - 10-Jednodimenzionalni nizovi.ppt [Compatibility Mode] Osnove programiranja Nizovi Sadržaj Definicija niza Vrste i elementi nizova Deklarisanje nizova Dodele (početne) vrednosti nizovima Jednodimenzionalni nizovi Primeri dodele vrednosti Petlja foreach Nizovi

Више

P1.1 Analiza efikasnosti algoritama 1

P1.1 Analiza efikasnosti algoritama 1 Analiza efikasnosti algoritama I Asimptotske notacije Master metoda (teorema) 1 Asimptotske notacije (1/2) Služe za opis vremena izvršenja algoritma T(n) gde je n N veličina ulaznih podataka npr. br. elemenata

Више

PowerPoint Presentation

PowerPoint Presentation + Fakultet organizacionih nauka Upravljanje razvojem IS MSc Ana Pajić Simović ana.pajic@fon.bg.ac.rs ANALIZA POSLOVNIH PROCESA BUSINESS PROCESS MANAGEMENT (BPM) PROCESS MINING + Business Process Management

Више

PROGRAMIRANJE Program je niz naredbi razumljivih računalu koje rješavaju neki problem. Algoritam je postupak raščlanjivanja problema na jednostavnije

PROGRAMIRANJE Program je niz naredbi razumljivih računalu koje rješavaju neki problem. Algoritam je postupak raščlanjivanja problema na jednostavnije PROGRAMIRANJE Program je niz naredbi razumljivih računalu koje rješavaju neki problem. Algoritam je postupak raščlanjivanja problema na jednostavnije korake. Uz dobro razrađen algoritam neku radnju ćemo

Више

Upitni jezik SQL

Upitni jezik SQL Šta je SQL? SQL (Structured Query Language) je jezik koji je Američki Institut za Nacionalne Standarde (ANSI - American National Standards Institute) prihvatio kao standardni jezik za relacione baze podataka.

Више

OPIS RAČUNARSKOG SISTEMA Računarski sistem se sastoji od procesora, operativne memorije, tajmera i terminala. Sve komponente računarskog sistema su me

OPIS RAČUNARSKOG SISTEMA Računarski sistem se sastoji od procesora, operativne memorije, tajmera i terminala. Sve komponente računarskog sistema su me OPIS RAČUNARSKOG SISTEMA Računarski sistem se sastoji od procesora, operativne memorije, tajmera i terminala. Sve komponente računarskog sistema su međusobno povezane preko sistemske magistrale. Tajmer

Више

Р273 Пројектовање база података Примери питања за колоквијум 1. Навести најважније моделе података кроз историју рачунарства до данас. 2. Објаснити ос

Р273 Пројектовање база података Примери питања за колоквијум 1. Навести најважније моделе података кроз историју рачунарства до данас. 2. Објаснити ос Р273 Пројектовање база података Примери питања за колоквијум 1. Навести најважније моделе података кроз историју рачунарства до данас. 2. Објаснити основне концепте мрежног модела 3. Објаснити основне

Више

Повезивање са интернетом

Повезивање са интернетом Драгана Стопић Сваки рачунар на интернету има своју адресу која је јединствена у свету. Ове адресе се називају IP адресе јер их користи IP протокол (интернет ниво) из фамилије TCP/IP. IP адресе представљају

Више

KDP

KDP Региони Региони Програмска парадигма за приступ критичној секцији Увођење посебне синтаксе за експлицитно означавање критичних секција Обезбеђивање међусобног искључивања процеса Условни критични регион

Више

Funkcije predavač: Nadežda Jakšić

Funkcije predavač: Nadežda Jakšić Funkcije predavač: Nadežda Jakšić do sada su korišćene "gotove" funkcije iz standardnih biblioteka (cin, cout...) one su pozivane iz main funkcije koja je glavna funkcija u programu jer izvršavanje programa

Више

P2.1 Projektovanje paralelnih algoritama 1

P2.1 Projektovanje paralelnih algoritama 1 Projektovanje paralelnih algoritama I Uvod Osnove dinamičke paralelizacije 1 Primer: Fibonačijev niz Primer rekurz. računanja Fibonačijevih brojeva: F 0 = 0; F 1 = 1; F i = F i -1 + F i -2 za i 2 Algoritam

Више

Microsoft Word - Smerovi 1996

Microsoft Word - Smerovi 1996 ИСПИТНИ РОК: СЕПТЕМБАР 2018/2019 СТАРИ НАСТАВНИ ПЛАН И ПРОГРАМ (1996) Смер: СВИ Филозофија и социологија 20.08.2019 Теорија друштвеног развоја 20.08.2019 Програмирање 20.08.2019 Математика I 21.08.2019

Више

My_P_Trigo_Zbir_Free

My_P_Trigo_Zbir_Free Штa треба знати пре почетка решавања задатака? ТРИГОНОМЕТРИЈА Ниво - Основне формуле које произилазе из дефиниција тригонометријских функција Тригонометријске функције се дефинишу у правоуглом троуглу

Више

PHP kod

PHP kod PHP kod Fajl test.txt kreiran u Notepad-u Ugrađene funkcije u php-u fopen() otvara datoteku fclose() zatvara datoteku Primjer pokazuje kako se može najprije otvariti datoteka "test.txt" za čitanje, zatim

Више

СХЕМАТСКИ ПРИКАЗИ У НАСТАВИ ГРАМАТИКЕ (НА ПРИМЕРУ ОБЛИКА ПРИСВОЈНИХ ЗАМЕНИЦА)

СХЕМАТСКИ ПРИКАЗИ У НАСТАВИ ГРАМАТИКЕ (НА ПРИМЕРУ ОБЛИКА ПРИСВОЈНИХ ЗАМЕНИЦА) СХЕМАТСКИ ПРИКАЗИ У НАСТАВИ ГРАМАТИКЕ (НА ПРИМЕРУ ОБЛИКА ПРИСВОЈНИХ ЗАМЕНИЦА) ДАНИЛО АЛЕКСИЋ daniloaleksic01@gmail.com СТРУКТУРА ПРЕЗЕНТАЦИЈЕ 1. ТЕОРИЈА 2. ПРОБЛЕМИ 3. РЕШЕЊА СЛУЧАЈЕВИ И ВАРИЈАНТЕ ИЗАЗОВИ

Више

COMARC/A Format

COMARC/A Format COMARC/A 856 856 ELEKTRONSKA LOKACIJA I PRISTUP Polje možemo da koristimo u normativnim zapisima za obezbeđivanje dodatnih (elektronskih) informacija o entitetu za koji je zapis kreiran. Polje sadrži podatke

Више

LAB 4 - Binarni komparator

LAB 4 - Binarni komparator UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA,TELEKOMUNIKACIJE I RAČUNARI PREDMET: PROJEKTOVANJE DIGITALNIH SISTEMA FOND ČASOVA: 3 + 0 + 1 LABORATORIJSKA VJEŽBA NAZIV: REALIZACIJA

Више

P2.1 Formalne gramatike

P2.1 Formalne gramatike Превођење Полазни језик? Одредишни језик 1 Превођење Полазни језик? Одредишни језик Како знање неког језика стиче и складишти човек, а како рачунар? 2 Два аспекта језика Синтакса Семантика значење То су

Више

Mere slicnosti

Mere slicnosti Nenad Mitić Matematički fakultet nenad@matf.bg.ac.rs Kako odrediti sličnost/različitost, obrazaca, atributa, dogadjaja... Podaci različitog tipa i strukture Zavisnost od tipa, raspodele, dimenzionalnosti

Више

Grananje u programu predavač: Nadežda Jakšić

Grananje u programu predavač: Nadežda Jakšić Grananje u programu predavač: Nadežda Jakšić u okviru linijske strukture izvršavaju se sve naredbe u okviru razgranate strukture uvek se ispituje neki uslov; u zavisnosti od toga da li je uslov ispunjen

Више

PowerPoint Presentation

PowerPoint Presentation SVEUČILIŠTE U ZAGREBU Fakultet prometnih znanosti Zavod za inteligentne transportne sustave Vukelićeva 4, Zagreb, HRVATSKA Računalstvo Operatori, pisanje izraza i osnove pseudokôda Izv. prof. dr. sc. Edouard

Више

М А Т Е М А Т И К А Први разред (180) Предмети у простору и односи међу њима (10; 4 + 6) Линија и област (14; 5 + 9) Класификација предмета према свој

М А Т Е М А Т И К А Први разред (180) Предмети у простору и односи међу њима (10; 4 + 6) Линија и област (14; 5 + 9) Класификација предмета према свој М А Т Е М А Т И К А Први разред (180) Предмети у простору и односи међу њима (10; 4 + 6) Линија и област (14; 5 + 9) Класификација предмета према својствима (6; 2 + 4) Природни бројеви до 100 (144; 57

Више

Microsoft Word - Lekcija 11.doc

Microsoft Word - Lekcija 11.doc Лекција : Креирање графова Mathcad олакшава креирање x-y графика. Треба само кликнути на нови фајл, откуцати израз који зависи од једне варијабле, например, sin(x), а онда кликнути на дугме X-Y Plot на

Више

Vjezbe

Vjezbe SOFTVERSKO INŽENJERSTVO Vježbe 8: Activity dijagrami Robert Manger Sveučilište u Zagrebu PMF-Matematički odsjek Akademska godina 2018/2019. Sadržaj Vježbi 8 Općenito o activity dijagramima Aktivnosti,

Више

ELEKTRONIKA

ELEKTRONIKA МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА РЕПУБЛИКЕ СРБИЈЕ ЗАЈЕДНИЦА ЕЛЕКТРОТЕХНИЧКИХ ШКОЛА РЕПУБЛИКЕ СРБИЈЕ ДВАДЕСЕТ ДРУГО РЕГИОНАЛНО ТАКМИЧЕЊЕ ЗАДАЦИ ИЗ ЕЛЕКТРОНИКЕ ЗА УЧЕНИКЕ ТРЕЋЕГ РАЗРЕДА

Више

P1.0 Uvod

P1.0 Uvod Системска програмска подршка у реалном времену 1 Миодраг Ђукић miodrag.djukic@rt-rk.uns.ac.rs www.rt-rk.uns.ac.rs 1 Системска програмска подршка у реалном времену 1 програмска подршка = софтвер Системски

Више

PRIRODNO MATEMATIČKI FAKULTET U NIŠU DEPARTMAN ZA RAČUNARSKE NAUKE Utorak, godine PRIJEMNI ISPIT IZ INFORMATIKE 1. Koja od navedenih ekste

PRIRODNO MATEMATIČKI FAKULTET U NIŠU DEPARTMAN ZA RAČUNARSKE NAUKE Utorak, godine PRIJEMNI ISPIT IZ INFORMATIKE 1. Koja od navedenih ekste PRIRODNO MATEMATIČKI FAKULTET U NIŠU DEPARTMAN ZA RAČUNARSKE NAUKE Utorak, 5.06.019. godine PRIJEMNI ISPIT IZ INFORMATIKE 1. Koja od navedenih ekstenzija se najčešće koristi za tekstualne datoteke? a)

Више

Zbirka resenih zadataka iz arhitekture racunara

Zbirka resenih zadataka iz arhitekture racunara Ј. ЂОРЂЕВИЋ, З. РАДИВОЈЕВИЋ, М. ПУНТ, Б. НИКОЛИЋ, Д. МИЛИЋЕВ, Ј. ПРОТИЋ, А. МИЛЕНКОВИЋ АРХИТЕКТУРА И ОРГАНИЗАЦИЈА РАЧУНАРА ПРЕКИДИ, МАГИСТРАЛА И УЛАЗ/ИЗЛАЗ ЗБИРКА РЕШЕНИХ ЗАДАТАКА Београд 2013. i САДРЖАЈ

Више

Državno natjecanje / Osnove informatike Srednje škole Zadaci U sljedećim pitanjima na odgovore odgovaraš upisivanjem slova koji se nalazi ispred

Državno natjecanje / Osnove informatike Srednje škole Zadaci U sljedećim pitanjima na odgovore odgovaraš upisivanjem slova koji se nalazi ispred Zadaci. 8. U sljedećim pitanjima na odgovore odgovaraš upisivanjem slova koji se nalazi ispred točnog odgovora, u za to predviđen prostor. Odgovor Ako želimo stvoriti i pohraniti sliku, ali tako da promjenom

Више

Microsoft Word - Master 2013

Microsoft Word - Master 2013 ИСПИТНИ РОК: ЈУН 2018/2019 МАСТЕР АКАДЕМСКЕ СТУДИЈЕ (АКРЕДИТАЦИЈА 2013) Студијски програм: ЕЛЕКТРОЕНЕРГЕТИКА Семестар 17.06.2019 Статички електрицитет у технолошким процесима Електронска кола за управљање

Више

Classroom Expectations

Classroom Expectations АТ-8: Терминирање производно-технолошких ентитета Проф. др Зоран Миљковић Садржај Пројектовање флексибилних ; Математички модел за оптимизацију флексибилних ; Генетички алгоритми у оптимизацији флексибилних

Више

Satnica.xlsx

Satnica.xlsx ПОНЕДЕЉАК 10.06.19 2Б Алгоритми и програмирање - КОЛОКВИЈУМ 64 А3 2Б Алгоритми и програмирање - КОЛОКВИЈУМ 46 Ч1 2Б Алгоритми и програмирање - КОЛОКВИЈУМ 70 Ч2 2Б Алгоритми и програмирање - КОЛОКВИЈУМ

Више

Slide 1

Slide 1 OSNOVNI POJMOVI Naredba je uputa računalu za obavljanje određene radnje. Program je niz naredbi razumljivih računalu koje rješavaju neki problem. Pisanje programa zovemo programiranje. Programski jezik

Више

Microsoft PowerPoint - A02 - P. ZDRAVKOVIC [Compatibility Mode]

Microsoft PowerPoint - A02 - P. ZDRAVKOVIC [Compatibility Mode] Predrag S. Zdravković, saobr. inž. e-mail: preda10@sbb.rs e-mail: preda@sf.bg.ac.rs Задатак: Усаглашавање постојећег Правилника о саобраћајним знаковима са новодонетим Законом о безбедности саобраћаја

Више

Projektovanje tehnoloških procesa

Projektovanje tehnoloških procesa ФАКУЛТЕТ ТЕХНИЧКИХ НАУКА Департман за производно машинство Пројектовање технолошких процеса Тема: Др Мијодраг Милошевић Технолошки процеси израде производа Део производног процеса у коме се врши измена

Више

УНИВЕРЗИТЕТ У ИСТОЧНОМ САРАЈЕВУ П Р А В И Л А НОРМАТИВНО-ПРАВНЕ ТЕХНИКЕ ЗА ИЗРАДУ OПШТИХ АКАТА УНИВЕРЗИТЕТА У ИСТОЧНОМ САРАЈЕВУ Источно Сарајево, деце

УНИВЕРЗИТЕТ У ИСТОЧНОМ САРАЈЕВУ П Р А В И Л А НОРМАТИВНО-ПРАВНЕ ТЕХНИКЕ ЗА ИЗРАДУ OПШТИХ АКАТА УНИВЕРЗИТЕТА У ИСТОЧНОМ САРАЈЕВУ Источно Сарајево, деце УНИВЕРЗИТЕТ У ИСТОЧНОМ САРАЈЕВУ П Р А В И Л А НОРМАТИВНО-ПРАВНЕ ТЕХНИКЕ ЗА ИЗРАДУ OПШТИХ АКАТА УНИВЕРЗИТЕТА У ИСТОЧНОМ САРАЈЕВУ Источно Сарајево, децембар, 2013. године На основу члана 64. став 2. тачка

Више

РЕПУБЛИКА СРПСКА МИНИСТАРСТВО ПРОСВЈЕТЕ И КУЛТУРЕ РЕПУБЛИЧКИ ПЕДАГОШКИ ЗАВОД Милоша Обилића 39 Бањалука, Тел/факс 051/ , 051/ ; p

РЕПУБЛИКА СРПСКА МИНИСТАРСТВО ПРОСВЈЕТЕ И КУЛТУРЕ РЕПУБЛИЧКИ ПЕДАГОШКИ ЗАВОД Милоша Обилића 39 Бањалука, Тел/факс 051/ , 051/ ;   p РЕПУБЛИКА СРПСКА МИНИСТАРСТВО ПРОСВЈЕТЕ И КУЛТУРЕ РЕПУБЛИЧКИ ПЕДАГОШКИ ЗАВОД Милоша Обилића 9 Бањалука, Тел/факс 01/40-110, 01/40-100; e-mail : pedagoski.zavod@rpz-rs.org Датум: 8.04.018. Републичко такмичење

Више

Agencija za odgoj i obrazovanje Hrvatska zajednica tehničke kulture 57. ŽUPANIJSKO/KLUPSKO NATJECANJE MLADIH TEHNIČARA PISANA PROVJERA ZNANJA 5.

Agencija za odgoj i obrazovanje Hrvatska zajednica tehničke kulture 57. ŽUPANIJSKO/KLUPSKO NATJECANJE MLADIH TEHNIČARA PISANA PROVJERA ZNANJA 5. Agencija za odgoj i obrazovanje Hrvatska zajednica tehničke kulture 57. ŽUPANIJSKO/KLUPSKO NATJECANJE MLADIH TEHNIČARA 205. PISANA PROVJERA ZNANJA 5. RAZRED Zaporka učenika: Ukupan zbroj bodova pisanog

Више