Projektovanje digitalnih sistema

Величина: px
Почињати приказ од странице:

Download "Projektovanje digitalnih sistema"

Транскрипт

1 Projektovanje digitalnih sistema Metodologije dizajna

2 Metodologije dizajna Odozgo ka dolje (top-down) Definiše se blok najvišeg nivoa i identifikuju se manji blokovi neophodni za njegovu implementaciju Manji blokovi se dijele na još manje blokove dok se ne dođe do ćelija koje se ne mogu više dijeliti 2

3 Metodologije dizajna - nastavak Odozdo ka gore (bottom-up) Prvo se identifikuju blokovi (ćelije) koji su dostupni za implementaciju Formiraju se veći blokovi koristeći dostupne ćelije Ovi se blokovi koriste za realizaciju blokova višeg nivoa, sve dok se ne dođe do bloka najvišeg nivoa Tipično se koristi kombinacija ove dvije metodologije 3

4 Primjer: 4-bitni ripple carry brojač Sastoji se od toggle flip flopova (T_FF) koji reaguju na silaznu ivicu Kako napraviti T_FF? 4

5 Primjer: 4-bitni ripple carry brojač - nastavak T_FF se može napraviti od D flip flopa koji reaguje na silaznu ivicu i invertora (pod pretpostavkom da D flip flop nema invertovani izlaz): 5

6 Primjer: 4-bitni ripple carry brojač - nastavak Brojač je izrađen u hijerarhijskom obliku, korišćenjem manjih blokova: 6

7 Primjer: 4-bitni ripple carry brojač - nastavak Kod top-down metodologije prvo bi specificirali funkcionalnost brojača (on je blok najvišeg nivoa) Nakon toga se brojač implementira pomoću blokova T_FF T_FF se implementira pomoću D flip flopa i invertora Dakle, veće blokove dijelimo u manje dok ne odlučimo da dalje dijeljenje nema smisla Kod bottom-up metodologije išlo bi se u suprotnom smjeru: kombinuju se mali blokovi za realizaciju većih Napravili bi D_FF koristeći logička kola (AND, OR, NOT,...) ili čak pomoću tranzistora Kod D flip flopova bi se susreli top-down i bottom-up tokovi 7

8 Primjer: 4-bitni ripple carry brojač - nastavak Modul osnovni gradivni blok u Verilogu Modul može biti osnovni element ili kombinacija blokova nižeg nivoa Elementi se grupišu u module da obezbijede funkcionalnost koja bi se koristila na više mjesta unutar dizajna Modul obezbjeđuje potrebnu funkcionalnost prema blokovima višeg nivoa preko portova (input, output), ali od njih sakriva unutrašnju implementaciju Na prethodnoj slici, Ripple Carry counter, T_FF i D_FF su primjeri modula Modul se deklariše pomoću ključne riječi module Na kraju definicije modula stavlja se ključna riječ endmodule 8

9 Primjer: 4-bitni ripple carry brojač - nastavak Svaki modul mora imati svoje ime (module_name) koje identifikuje taj modul module_terminal_list koji opisuje ulazne i izlazne priključke modula Opšti slučaj: module <module_name> <module_terminal_list>;... <sadržaj modula>... endmodule T_FF bi se mogao definisati kao modul na ovaj način: module T_FF (q, clock, reset);... <funkcionalnost toggle flip flopa>... endmodule 9

10 Primjer: 4-bitni ripple carry brojač - nastavak Modul predstavlja šablon od kojeg se kreira stvarni objekat Svaki objekat ima svoje vlastito ime, promjenljive, parametre i I/O interfejs Proces kreiranja objekta koristeći šablon modula naziva se instantiation (instanciranje), a objekti se nazivaju instances (instance) Blok najvišeg nivoa u primjeru brojača kreira 4 instance T_FF šablona Svaki T_FF instancira D_FF i invertor Svaka od ovih instanci mora imati jedinstveno ime 10

11 Primjer: 4-bitni ripple carry brojač - nastavak Instanciranje modula (Napomena: // - predstavlja komentar) // Definisati modul najvišeg nivoa sa imenom ripple_carry_counter module ripple_carry_counter(q, clk, reset); output [3:0] q; // deklaracija vektora i I/0 signala (objašnjenje kasnije) input clk, reset; // Kreiraju se 4 instance modula T_FF. Svaka ima jedinstveno ime // Svakoj instanci se prosleđuje set signala. Primjetiti da je svaka instanca kopija modula T_FF T_FF tff0(q[0],clk, reset); T_FF tff1(q[1],q[0], reset); T_FF tff2(q[2],q[1], reset); T_FF tff3(q[3],q[2], reset); endmodule 11

12 Primjer: 4-bitni ripple carry brojač - nastavak Instanciranje modula // Definisati modul T_FF. On instancira D_FF. Pretpostavljamo da je // modul D_FF definisan negdje drugo unutar dizajna module T_FF(q, clk, reset); output q; input clk, reset; wire d; D_FF dff0(q, d, clk, reset); not n1(d,q); // not kolo je Verilog element endmodule 12

13 Primjer: 4-bitni ripple carry brojač - nastavak U Verilogu nije dozvoljeno ugnijezditi module! Unutar jedne definicije modula ne smije se naći druga definicija modula Dozvoljeno je da se instancira kopija drugog modula Praviti razliku između definicije i instance modula: definicija modula specificira kako će modul raditi, šta se u njemu nalazi i njegov interfejs modul mora biti instanciran da bi se koristio u dizajnu module ripple_carry_counter(q, clk, reset); output [3:0] q; input clk, reset; module T_FF(q, clk, reset); // nedozvoljeno!!!... <unutrašnjost modula T_FF... endmodule endmodule 13

14 Komponente simulacije Kada se završi dizajn bloka, potrebno ga je testirati Funkcionalnost se testira tako što se dovedu različite kombinacije ulaznih signala (tzv. stimulus) i provjere se rezultati Blok sa ulaznim signalima se naziva stimulus blok (test bench) i poželjno ga je držati odvojeno od dizajna Dva su moguća stila primjene stimulusa: 1. stimulus blok instancira blok dizajna 2. i stimulus i blok dizajna se instanciraju u bloku najvišeg nivoa (toplevel dummy module) 14

15 Komponente simulacije nastavak 1. - stimulus blok direktno upravlja signalima u bloku dizajna - stimulus blok postaje blok najvišeg nivoa - on upravlja signalima clk i reset i provjerava i prikazuje izlazni signal q 15

16 Komponente simulacije nastavak 2. - stimulus blok vrši interakciju sa blokom dizajna jedino preko interfejsa - upravlja signalima d_clk i d_reset koji su povezani na signale clk i reset u bloku dizajna - provjerava i prikazuje signal c_q, koji je povezan sa signalom q u bloku dizajna - funkcija bloka najvišeg nivoa je samo da instancira blok dizajna i stimulus blok 16

17 Primjer: 4-bitni ripple carry brojač - nastavak Koristimo top-down metodologiju: prvo definišemo blok najvišeg nivoa module ripple_carry_counter(q, clk, reset); output [3:0] q; input clk, reset; T_FF tff0(q[0],clk, reset); T_FF tff1(q[1],q[0], reset); T_FF tff2(q[2],q[1], reset); T_FF tff3(q[3],q[2], reset); endmodule Ovdje su korišćene 4 instance T_FF modula => moramo definisati modul T_FF 17

18 Primjer: 4-bitni ripple carry brojač - nastavak Definicija bloka T_FF module T_FF(q, clk, reset); output q; input clk, reset; wire d; D_FF dff0(q, d, clk, reset); not n1(d,q); endmodule Ovaj modul instancira D_FF => moramo definisati modul D_FF 18

19 Primjer: 4-bitni ripple carry brojač - nastavak Definicija bloka D_FF (uzećemo da se vrši asinhroni reset) module D_FF(q, d, clk, reset); output q; input d, clk, reset; reg q; // Ne obraćati pažnju na sintaksu. Koncentrisati se na način dizajna // u top-down metodologiji reset or negedge clk) if (reset) q = 1 b0; else q = d; endmodule Svi moduli su definisani => blok dizajna je kompletiran 19

20 Primjer: 4-bitni ripple carry brojač - nastavak Stimulus blok moramo napraviti stimulus blok kako bi provjerili ispravnost funkcionisanja dizajna brojača kontrolišemo signale clk i reset, da provjerimo i brojanje i resetovanje koristićemo sljedeći talasni oblik za testiranje dizajna: perioda clk signala je 10 jedinica vremena reset je aktivan od vremenskog trenutka 0 do 15 i od 195 do

21 Primjer: 4-bitni ripple carry brojač - nastavak Stimulus blok primijenićemo stil direktnog upravljanja signalima u bloku dizajna module stimulus; reg clk; reg reset; wire[3:0] q; ripple_carry_counter r1(q, clk, reset); // instancira blok dizajna initial // Kontrola clk signala koji upravlja blokom dizajna. Perioda = 10 clk = 1 b0; // postavi clk na 0 always #5 clk = ~clk; // invertuj clk svakih 5 vremenskih jedinica... 21

22 Primjer: 4-bitni ripple carry brojač - nastavak... nastavak initial begin reset = 1 b1; #15 reset = 1 b0; #180 reset = 1 b1; #10 reset = 1 b0; #20 $finish; // prekini simulaciju end initial $monitor($time, " Izlaz q = %d ", q); // nadgledanje izlaza endmodule 22

23 Primjer: 4-bitni ripple carry brojač - nastavak Izlaz simulacije 0 Izlaz q = 0 20 Izlaz q = 1 30 Izlaz q = 2 40 Izlaz q = 3 50 Izlaz q = 4 60 Izlaz q = 5 70 Izlaz q = 6 80 Izlaz q = 7 90 Izlaz q = Izlaz q = Izlaz q = Izlaz q = Izlaz q = Izlaz q = Izlaz q = Izlaz q = Izlaz q = Izlaz q = Izlaz q = Izlaz q = Izlaz q = Izlaz q = 2 23

24 Verilog: leksičke konvencije Verilog je jezik u kome se pravi razlika između malih i velikih slova (casesensitive) Sve ključne riječi se pišu malim slovima Prazno mjesto (\b), tab (\t), vraćanje na početak linije (\r) i prelazak u novi red (\n) predstavljaju tzv. razmak. Razmaci se ignorišu, osim kad su unutar stringova. module addbit(a,b,ci,sum,co); input a,b,ci;output sum, co; wire a,b,ci,sum,co;endmodule isto module addbit ( a, b, ci, sum, co); input a; input b; input ci; output sum; output co; wire a; wire b; wire ci; wire sum; wire co; endmodule 24

25 Verilog: leksičke konvencije nastavak Komentari se mogu unijeti na dva načina: Linijski komentar (počinje sa //) preskače se sve do kraja linije Višelinijski komentar (počinje sa /* a završava sa */) ne smiju biti ugniježdeni a = b && c; // Ovo je komentar u jednoj liniji /* Ovo je komentar u više linija */ /* ovo je /* nepravilni */ komentar */ 25

26 Verilog: leksičke konvencije nastavak Operatori mogu biti unarni, binarni i trojni: Unarni operatori se pišu ispred operanda Binarni operandi se pišu između operanada Trojni operandi se označavaju sa dva različita simbola koji razdvajaju tri operanda a = ~b; // ~ je unarni operator. b je operand a = b && c; // && je binarni operator. b i c su operandi a = b? c : d; //? : je trojni operator. b, c i d su operandi 26

27 Verilog: predstavljanje brojeva Brojevi sa specificiranom i nespecificiranom veličinom Format brojeva sa specificiranom veličinom: <veličina> <brojna osnova><broj> <veličina> se piše u dekadnom sistemu i govori koliko ima bitova u predstavljanom broju opciono <brojna osnova> može biti decimalna ( d ili D), heksadecimalna ( h ili H), binarna ( b ili B) ili oktalna ( o ili O) - opciono <broj> se predstavlja neprekidnim nizom cifara iz skupa {0, 1, 2, 3, 4, 5, 6, 7, 8, 9, a, b, c, d, e, f}. U pojedinim brojnim sistemima se koriste samo podskupovi ovog skupa cifara. Mogu se koristiti i velika slova za predstavljanje cifara. 4 b1111 // ovo je 4-bitni binarni broj: 1111 (2) = 15 (10) 12 habc // ovo je 12-tobitni heksad. broj: ABC (16) =2748 (10) = (2) 16 d255 // ovo je 16-tobitni decimalni broj: 255 (10) = (2)

28 Verilog: predstavljanje brojeva nastavak Format brojeva sa nespecificiranom veličinom: <brojna osnova><broj> <brojna osnova> može biti izostavljena => podrazumijeva se da je broj u dekadnom brojnom sistemu Veličina nespecificiranih brojeva zavisi od korišćenog simulatora/uređaja i iznosi najmanje 32 bita // ovo je 32-bitni dekadni broj hc3 // ovo je 32-bitni heksadekadni broj o21 // ovo je 32-bitni oktalni broj 28

29 Verilog: predstavljanje brojeva nastavak Nepoznata ili neispravna vrijednost (često potrebno kod realnih kola) se označava sa x Stanje visoke impedanse (plivajuće stanje) se označava sa z pogodno za opisivanje tristate bafera 12 h13x // ovo je 12-tobitni broj; 4 najniža bita su nepoznata 6 hx // ovo je 6-bitni heksadekadni broj 32 bz // ovo je 32-bitni broj kod kojeg svih 32 bita imaju visoku impedansu x i z predstavljaju grupu od 4 bita u hex sistemu, grupu od 3 bita u oct sistemu i 1 bit u binarnom sistemu Ako je bit najviše težine u broju 0, x ili z, broj se automatski proširuje sa 0, x ili z, respektivno Na ovaj način se olakšava zadavanje vektora vidi gornji primjer Ako je bit najviše težine u broju 1, broj se proširuje nulama! 29

30 Verilog: predstavljanje negativnih brojeva Negativni brojevi se označavaju stavljanjem znaka minus ispred oznake za veličinu konstante Znak za minus se ne smije staviti između <brojne osnove> i <broja> Negativni brojevi se interno predstavljaju u zapisu sa dvojnim komplementom Donja crta ( _ ) se koristi radi lakšeg čitanja brojeva i ignoriše se od strane Veriloga. Ne stavlja se kao prvi karakter! -8'd3 // osmobitni negativni broj smješten kao dvojni komplement od 3 4'd-2 // neispravna specifikacija!!! 1 // smješten kao; 'hAA // smješten kao: 'b10_0011 // smješten kao: 'hf // smješten kao:

31 Verilog: predstavljanje realnih brojeva Verilog podržava realne konstante i promjenljive Realni brojevi ne mogu sadržati 'Z i 'X' Realni brojevi se mogu specificirati u decimalnoj ili naučnoj notaciji: Decimalna notacija: <vrijednost>.<vrijednost> Naučna notacija: <mantisa>e<eksponent> Realni brojevi se zaokružuju na najbližu cijelu vrijednost, kada se dodjeljuju cjelobrojnoj promjenljivoj 1.4 // decimalni broj: // decimalni broj: E4 // decimalni broj: 5.7x10 4, odnosno

32 Verilog: predstavljanje brojeva - primjer module signed_number; reg [31:0] a; initial begin end endmodule a = 14'h1234; $display ("Trenutna vrijednost a = %h", a); a = -14'h1234; $display ("Trenutna vrijednost a = %h", a); a = 32'hDEAD_BEEF; $display ("Trenutna vrijednost a = %h", a); a = -32'hDEAD_BEEF; $display ("Trenutna vrijednost a = %h", a); #10 $finish; IZLAZ: Trenutna vrijednost a = Trenutna vrijednost a = ffffedcc Trenutna vrijednost a = deadbeef Trenutna vrijednost a =

33 Verilog: predstavljanje brojeva - primjeri 1 b0 // jednobitna vrijednost, nula b0 // 32 bita, sve nule (0000_0000_0000_0000_0000_0000_0000_0000) 4 ha // četvorobitna HEX vrijednost (1010) 5 ha // petobitna HEX vrijednost (01010) 4 hz // zzzz 4 bx // xxxx 10 // 32-bitna vrijednost (0000_0000_0000_0000_0000_0000_0000_1010) F // neispravna vrijednost 33

34 Verilog: predstavljanje stringova String je sekvenca karaktera koji se nalaze unutar znakova navoda String se mora nalaziti u okviru jedne linije String se tretira kao sekvenca jednobajtnih ASCII vrijednosti: jedna ASCII jedan karakter Hello Verilog World // ovo je string a / b // ovo je string Verilog ne smješta terminacioni karakter Kada je promjenljiva veća nego što je potrebno da se smjesti string, dopunjava se nulama sa lijeve strane Neki karakteri se mogu koristiti u stringu samo ako se ispred njih nalazi escape karakter: \n novi red, \t tab, \\ backslash (\), \ navodnici, %% procenat 34

35 Verilog: identifikatori i ključne riječi Identifikator je naziv nekog objekta preko kojeg se taj objekat referencira Mora počinjati (malim ili velikim) slovom ili donjom crtom ( _ ) Identifikator može sadržati slova, cifre, donju crtu i znak za dolar (a-z A-Z 0-9 _ $) Identifikator ne može počinjati sa $ jer su takve konstrukcije rezervisane za sistemske funkcije Dužina naziva može biti do 1024 karaktera Ključne riječi su specijalni identifikatori rezervisani za definisanje jezičkih konstrukcija reg value; // reg je ključna riječ; value je identifikator input clk; // input je ključna riječ; clk je identifikator 35

36 Verilog: tipovi podataka Verilog podržava 4 vrijednosti i 8 jačina signala da bi se modelovao realni hardver Vrijednosti signala su: 0 => logička nula 1 => logička jedinica x => nepoznata ili neispravna vrijednost z => visoka impedansa (plivajuće stanje) 0 i 1 mogu imati neki od sledećih nivoa jačine (strenth): supply strong pull large weak medium small highz Poređani su od najjačeg prema najslabijem 36

37 Verilog: tipovi podataka nastavak Ako se dva signala nejednake jačine nađu na istom vodu, preovladaće jači signal Ako se dva signala jednake jačine nadmeću na istom vodu rezultat je nepoznat (x) Ako su svi signali na istom vodu u stanju visoke impedanse (isključeni tristate baferi) signal na vodu će biti u plivajućem stanju (z) 37

Увод у организацију и архитектуру рачунара 1

Увод у организацију и архитектуру рачунара 1 Увод у организацију и архитектуру рачунара 2 Александар Картељ kartelj@matf.bg.ac.rs Напомена: садржај ових слајдова је преузет од проф. Саше Малкова Увод у организацију и архитектуру рачунара 2 1 Секвенцијалне

Више

LAB PRAKTIKUM OR1 _ETR_

LAB PRAKTIKUM OR1 _ETR_ UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA, TELEKOMUNIKACIJE I RAČUNARI PREDMET: OSNOVE RAČUNARSTVA 1 FOND ČASOVA: 2+1+1 LABORATORIJSKA VJEŽBA BROJ 1 NAZIV: REALIZACIJA

Више

Microsoft PowerPoint - OOPpredavanja05 [Compatibility Mode]

Microsoft PowerPoint - OOPpredavanja05 [Compatibility Mode] OBJEKTNO ORIJENTISANO PROGRAMIRANJE PREDAVANJE 5 OBJEKTI U INTERAKCIJI Miloš Kovačević Đorđe Nedeljković 1 /25 OSNOVNI KONCEPTI - Abstrakcija - Modularizacija - Objektne reference - Klasni dijagram - Objektni

Више

Орт колоквијум

Орт колоквијум II колоквијум из Основа рачунарске технике I - 27/28 (.6.28.) Р е ш е њ е Задатак На улазе x, x 2, x 3, x 4 комбинационе мреже, са излазом z, долази четворобитни BCD број. Ако број са улаза при дељењу

Више

Орт колоквијум

Орт колоквијум Испит из Основа рачунарске технике - / (6.6.. Р е ш е њ е Задатак Комбинациона мрежа има пет улаза, по два за број освојених сетова тенисера и један сигнал који одлучује ко је бољи уколико је резултат

Више

LAB 4 - Binarni komparator

LAB 4 - Binarni komparator UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA,TELEKOMUNIKACIJE I RAČUNARI PREDMET: PROJEKTOVANJE DIGITALNIH SISTEMA FOND ČASOVA: 3 + 0 + 1 LABORATORIJSKA VJEŽBA NAZIV: REALIZACIJA

Више

Tutoring System for Distance Learning of Java Programming Language

Tutoring System for Distance Learning of Java Programming Language Deklaracija promenljivih Inicijalizacija promenljivih Deklaracija promenljive obuhvata: dodelu simboličkog imena promenljivoj i određivanje tipa promenljive (tip određuje koja će vrsta memorijskog registra

Више

Razvoj programa, Code::Blocks, struktura programa, printf, scanf, konverzioni karakteri predavač: Nadežda Jakšić

Razvoj programa, Code::Blocks, struktura programa, printf, scanf, konverzioni karakteri predavač: Nadežda Jakšić Razvoj programa, Code::Blocks, struktura programa, printf, scanf, konverzioni karakteri predavač: Nadežda Jakšić projektni zadatak projektovanje programa (algoritmi) pisanje programskog koda, izvorni kod,

Више

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode]

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode] ij Cilj: Dobiti što više informacija o ponašanju digitalnih kola za što kraće vreme. Metod: - Detaljni talasni oblik signala prikazati samo na nivou logičkih stanja. - Simulirati ponašanje kola samo u

Више

ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera

ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera CILJ VEŽBE Cilj ove vežbe je da se studenti kreiranjem

Више

Microsoft PowerPoint - 12a PEK EMT VHDL 1 od 4 - Uvod (2011).ppt [Compatibility Mode]

Microsoft PowerPoint - 12a PEK EMT VHDL 1 od 4 - Uvod (2011).ppt [Compatibility Mode] VHDL jezik za opis hardvera VHDL jezik za opis hardvera VHDL jezik za opis hardvera Prof. Dr Predrag Petković Dr Miljana Milić Sadržaj 1. Šta je VHDL? 2. Opis hardvera 3. Signali 4. Osnove VHDL pravopisa

Више

Microsoft PowerPoint - Bitovi [Compatibility Mode]

Microsoft PowerPoint - Bitovi [Compatibility Mode] Оператори над битовима (Јаничић, Марић: Програмирање 2, тачка 5.6) Оператори за рад са појединачним битовима Само на целобројне аргументе: ~ битовска негација & битовска конјункција (и) битовска (инклузивна)

Више

P1.2 Projektovanje asemblera

P1.2 Projektovanje asemblera ПРОЈЕКТОВАЊЕ АСЕМБЛЕРА Асемблер Модули асемблера 1 Дефинисање новог лингвистичког нивоа превођењем Потребан преводилац алат који преводи програм написан на једном језику (на једном лингвистичком нивоу)

Више

Повезивање са интернетом

Повезивање са интернетом Драгана Стопић Сваки рачунар на интернету има своју адресу која је јединствена у свету. Ове адресе се називају IP адресе јер их користи IP протокол (интернет ниво) из фамилије TCP/IP. IP адресе представљају

Више

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i za generisanje željenih izlaznih signala (slika 1).

Више

Inženjering informacionih sistema

Inženjering informacionih sistema Fakultet tehničkih nauka, Novi Sad Inženjering informacionih sistema Dr Ivan Luković Dr Slavica Kordić Nikola Obrenović Milanka Bjelica Dr Jelena Borocki Dr Milan Delić UML UML (Unified Modeling Language)

Више

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећ

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећ Испит из Основа рачунарске технике OO - 27/2 (9.6.2.) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећој слици: S Q R Q Асинхрони RS флип флопреализован помоћу НИ

Више

Programiranje u C-u ili C++-u Pseudo-slučajni brojevi; Dinamička alokacija memorije 1 ZADACI SA ČASA Zadatak 1 Napraviti funkciju koja generišlučajan

Programiranje u C-u ili C++-u Pseudo-slučajni brojevi; Dinamička alokacija memorije 1 ZADACI SA ČASA Zadatak 1 Napraviti funkciju koja generišlučajan Programiranje u C-u ili C++-u Pseudo-slučajni brojevi; Dinamička alokacija memorije 1 ZADACI SA ČASA Zadatak 1 Napraviti funkciju koja generišlučajan realan broj od 0 i 1. Na standardni izlaz ispisati

Више

Microsoft Word - 02 Elementi programskog jezika Pascal

Microsoft Word - 02 Elementi programskog jezika Pascal Elementi programskog jezika Pascal Osnovni elementi jezika Osnovni simboli U programskom jeziku Pascal sve konstrukcije se grade od skupa osnovnih simbola jezika koji čine slova, cifre i specijalni znaci.

Више

Osnovi programiranja Beleške sa vežbi Smer Računarstvo i informatika Matematički fakultet, Beograd Jelena Tomašević i Sana Stojanović November 7, 2005

Osnovi programiranja Beleške sa vežbi Smer Računarstvo i informatika Matematički fakultet, Beograd Jelena Tomašević i Sana Stojanović November 7, 2005 Osnovi programiranja Beleške sa vežbi Smer Računarstvo i informatika Matematički fakultet, Beograd Jelena Tomašević i Sana Stojanović November 7, 2005 2 Sadržaj 1 5 1.1 Specifikacija sintakse programskih

Више

PowerPoint Presentation

PowerPoint Presentation УВОД Дa би рaчунaри нa мрежи могли међусобно да кoмуницирaју и рaзмeњују пoдaткe, пoтрeбнo je: дa сe увeду ПРOТOКOЛИ (утврђeна прaвилa и процедуре за комуникацију) да постоје АДРEСE кoje су jeдинствeнe

Више

Test ispravio: (1) (2) Ukupan broj bodova: 21. veljače od 13:00 do 14:00 Županijsko natjecanje / Osnove informatike Osnovne škole Ime i prezime

Test ispravio: (1) (2) Ukupan broj bodova: 21. veljače od 13:00 do 14:00 Županijsko natjecanje / Osnove informatike Osnovne škole Ime i prezime Test ispravio: () () Ukupan broj bodova:. veljače 04. od 3:00 do 4:00 Ime i prezime Razred Škola Županija Mentor Sadržaj Upute za natjecatelje... Zadaci... Upute za natjecatelje Vrijeme pisanja: 60 minuta

Више

Logicko projektovanje racunarskih sistema I

Logicko projektovanje racunarskih sistema I POKAZNA VEŽBA 10 Strukture za računanje Potrebno predznanje Urađena pokazna vežba 8 Poznavanje aritmetičkih digitalnih sistema i aritmetičko-logičkih jedinica Osnovno znanje upravljačkih jedinica digitalnih

Више

Microsoft Word - CAD sistemi

Microsoft Word - CAD sistemi U opštem slučaju, se mogu podeliti na 2D i 3D. 2D Prvo pojavljivanje 2D CAD sistema se dogodilo pre više od 30 godina. Do tada su inženjeri koristili table za crtanje (kulman), a zajednički jezik komuniciranja

Више

Funkcije predavač: Nadežda Jakšić

Funkcije predavač: Nadežda Jakšić Funkcije predavač: Nadežda Jakšić do sada su korišćene "gotove" funkcije iz standardnih biblioteka (cin, cout...) one su pozivane iz main funkcije koja je glavna funkcija u programu jer izvršavanje programa

Више

Sveučilište u Zagrebu Fakultet prometnih znanosti Zavod za inteligentne transportne sustave Katedra za primijenjeno računarstvo Vježba: #7 Kolegij: Ba

Sveučilište u Zagrebu Fakultet prometnih znanosti Zavod za inteligentne transportne sustave Katedra za primijenjeno računarstvo Vježba: #7 Kolegij: Ba Sveučilište u Zagrebu Fakultet prometnih znanosti Zavod za inteligentne transportne sustave Katedra za primijenjeno računarstvo Vježba: #7 Kolegij: Baze podataka Tema: Osnovna SELECT naredba Vježbu pripremili:

Више

Microsoft Word - 11 Pokazivaci

Microsoft Word - 11 Pokazivaci Pokazivači U dosadašnjem radu smo imali prilike da koristimo promenljive koje smo deklarisali na početku nekog bloka. Prilikom deklaracije promenljiva dobija jedinstveni naziv i odgovarajući prostor u

Више

Kombinatorno testiranje

Kombinatorno testiranje Kombinatorno testiranje Uvod Na ponašanje aplikacije utiče puno faktora, npr. ulazne vrednosti, konfiguracije okruženja. Tehnike kao što je podela na klase ekvivalencije ili analiza graničnih vrednosti

Више

Uvod u računarstvo 2+2

Uvod u računarstvo 2+2 Ulaz i izlaz podataka Ulaz i izlaz podataka Nakon odslušanog bit ćete u stanju: navesti sintaksu naredbi za unos/ispis znakova znakovnih nizova cijelih brojeva realnih brojeva jednostruke i dvostruke preciznosti

Више

Tutoring System for Distance Learning of Java Programming Language

Tutoring System for Distance Learning of Java Programming Language Niz (array) Nizovi Niz je lista elemenata istog tipa sa zajedničkim imenom. Redosled elemenata u nizovnoj strukturi je bitan. Konkretnom elementu niza pristupa se preko zajedničkog imena niza i konkretne

Више

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x I колоквијум из Основа рачунарске технике I СИ- / (...) Р е ш е њ е Задатак Тачка А Потребно је прво пронаћи вредности функција f(x, x, x ) и g(x, x, x ) на свим векторима. f(x, x, x ) = x x + x x + x

Више

23. siječnja od 13:00 do 14:00 Školsko natjecanje / Osnove informatike Srednje škole RJEŠENJA ZADATAKA S OBJAŠNJENJIMA Sponzori Medijski pokrovi

23. siječnja od 13:00 do 14:00 Školsko natjecanje / Osnove informatike Srednje škole RJEŠENJA ZADATAKA S OBJAŠNJENJIMA Sponzori Medijski pokrovi 3. siječnja 0. od 3:00 do 4:00 RJEŠENJA ZADATAKA S OBJAŠNJENJIMA Sponzori Medijski pokrovitelji Sadržaj Zadaci. 4.... Zadaci 5. 0.... 3 od 8 Zadaci. 4. U sljedećim pitanjima na pitanja odgovaraš upisivanjem

Више

1

1 Podsetnik: Statističke relacije Matematičko očekivanje (srednja vrednost): E X x p x p x p - Diskretna sl promenljiva 1 1 k k xf ( x) dx E X - Kontinualna sl promenljiva Varijansa: Var X X E X E X 1 N

Више

Projekti šabloni

Projekti šabloni Projekti obrasci Kreiranje objekata Uvod Enkapsuliraju znanje o tome koje konkretno klase koristi sistem Sakrivaju instanciranje objekata i načine na koji se oni povezuju i reprezentuju Ostatak sistema

Више

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на след

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на след Испит из Основа рачунарске технике OO - / (...) Р е ш е њ е Задатак Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на следећој слици: S R Асинхрони RS флип флопреализован помоћу НИЛИ кола је

Више

УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке Писмени део испита из предмета Увод у рачунарство 1. [7 пое

УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке Писмени део испита из предмета Увод у рачунарство 1. [7 пое УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке 30.06.2018. Писмени део испита из предмета Увод у рачунарство 1. [7 поена] Методом МакКласкија минимизарити систем прекидачких

Више

Dijagrami sekvenci

Dijagrami sekvenci Dijagrami sekvenci Izrada dijagrama sekvenci Dijagram sekvenci koristi se za specifikaciju vremenskih zahteva u opisu složenih scenarija opis toka poruka između objekata kojima se realizuje odgovarajuća

Више

P11.3 Analiza zivotnog veka, Graf smetnji

P11.3 Analiza zivotnog veka, Graf smetnji Поједностављени поглед на задњи део компајлера Међурепрезентација (Међујезик IR) Избор инструкција Додела ресурса Распоређивање инструкција Инструкције циљне архитектуре 1 Поједностављени поглед на задњи

Више

Programiranje 1 Beleške sa vežbi Školska 2007/2008 godina Matematički fakultet, Beograd Jelena Tomašević December 5, 2007

Programiranje 1 Beleške sa vežbi Školska 2007/2008 godina Matematički fakultet, Beograd Jelena Tomašević December 5, 2007 Programiranje 1 Beleške sa vežbi Školska 2007/2008 godina Matematički fakultet, Beograd Jelena Tomašević December 5, 2007 2 Sadržaj 1 Programski jezik C 5 1.1 Oblast važenja lokalnih promenljivih..........................

Више

Upitni jezik SQL

Upitni jezik SQL Šta je SQL? SQL (Structured Query Language) je jezik koji je Američki Institut za Nacionalne Standarde (ANSI - American National Standards Institute) prihvatio kao standardni jezik za relacione baze podataka.

Више

P1.3 Projektovanje makroasemblera

P1.3 Projektovanje makroasemblera ПРОЈЕКТОВАЊЕ МАКРОАСЕМБЛЕРА Макроасемблер Потребна проширења асемблера 1 МАКРОАСЕМБЛЕР Макроасемблер преводи полазни програм написан на макроасемблерском језику у извршиви машински програм. Приликом израде

Више

VERILOG HDL

VERILOG HDL Verilog digitalni dizajn Modelovanje, predstavljanje, simulacija digitalnog hardvera Konkurentno izvršavanje Paralelni tok podataka signali i vreme Specijalne jezičke konsrtrukcije Prelazi na okidnu ivicu

Више

3.Kontrlne (upravlja~ke) strukture u Javi

3.Kontrlne (upravlja~ke) strukture u Javi Објектно орјентисано програмирање Владимир Филиповић vladaf@matf.bg.ac.rs Александар Картељ kartelj@matf.bg.ac.rs Низови у програмском језику Јава Владимир Филиповић vladaf@matf.bg.ac.rs Александар Картељ

Више

PASCAL UVOD 2 II razred gimnazije

PASCAL UVOD 2 II razred gimnazije PASCAL UVOD 2 II razred gimnazije Upis-ispis 1. Upis Read(a,b); --u jednom redu Readln(a,b); -- nakon upisa prelazi se u novi red 2. Ispis Write(a,b); -- u jednom redu Writeln(a,b); --nakon ispisa prelazi

Више

PROGRAMIRANJE Program je niz naredbi razumljivih računalu koje rješavaju neki problem. Algoritam je postupak raščlanjivanja problema na jednostavnije

PROGRAMIRANJE Program je niz naredbi razumljivih računalu koje rješavaju neki problem. Algoritam je postupak raščlanjivanja problema na jednostavnije PROGRAMIRANJE Program je niz naredbi razumljivih računalu koje rješavaju neki problem. Algoritam je postupak raščlanjivanja problema na jednostavnije korake. Uz dobro razrađen algoritam neku radnju ćemo

Више

COMARC/A Format

COMARC/A Format COMARC/A 856 856 ELEKTRONSKA LOKACIJA I PRISTUP Polje možemo da koristimo u normativnim zapisima za obezbeđivanje dodatnih (elektronskih) informacija o entitetu za koji je zapis kreiran. Polje sadrži podatke

Више

06 Poverljivost simetricnih algoritama1

06 Poverljivost simetricnih algoritama1 ЗАШТИТА ПОДАТАКА Симетрични алгоритми заштите поверљивост симетричних алгоритама Преглед биће објашњено: коришћење симетричних алгоритама заштите како би се заштитила поверљивост потреба за добрим системом

Више

Logičke izjave i logičke funkcije

Logičke izjave i logičke funkcije Logičke izjave i logičke funkcije Građa računala, prijenos podataka u računalu Što su logičke izjave? Logička izjava je tvrdnja koja može biti istinita (True) ili lažna (False). Ako je u logičkoj izjavi

Више

Microsoft PowerPoint - 13 PIK (Mentor Graphic ASIC).ppt

Microsoft PowerPoint - 13 PIK (Mentor Graphic ASIC).ppt Projektovanje integrisanih kola Delimično projektovanje po narudžbini Sadržaj: Sadržaj: I. I. Uvod Uvod - sistem projektovanja II. II. CMOS Analiza Proces kola primenom računara III. III. Potpuno Optimizacija

Више

PowerPoint Presentation

PowerPoint Presentation Python tutorijal - praktični primeri - Sadržaj: 1. Upoznavanje sa razvojnim okruženjem - Python proširenje (PTVS) za Visual Studio 2015 - Druga razvojna okruženja 2. Osnovi Python jezika - Sintaksa i konvencije

Више

Microsoft PowerPoint - Programski_Jezik_C_Organizacija_Izvornog_Programa_I_Greske [Compatibility Mode]

Microsoft PowerPoint - Programski_Jezik_C_Organizacija_Izvornog_Programa_I_Greske [Compatibility Mode] Programski jezik C organizacija izvornog programa Prevođenje Pisanje programa izvorni program Prevođenje programa izvršni program Izvršavanje programa rezultat Faze prevođenja Pretprocesiranje Kompilacija

Више

SPR , IV godina, VHDL – Ispitna pitanja

SPR , IV godina, VHDL – Ispitna pitanja VHDL, SPR, II kolokvijum, priprema, 2016 Napomena: Na kolokvijumu dolazi 1 zadatak (40%) i 1 praktican projekat (60%). Radi se u grupama prema utvrdjenom rasporedu od I kolokvijuma. A. ZADAI Priloziti

Више

I grupa 1. Napisati program koji izračunava i ispisuje zbir 4 najveća od pet brojeva unetih sa standardnog ulaza. ulaz izlaz Analiza: 1.

I grupa 1. Napisati program koji izračunava i ispisuje zbir 4 najveća od pet brojeva unetih sa standardnog ulaza. ulaz izlaz Analiza: 1. I grupa 1. Napisati program koji izračunava i ispisuje zbir 4 najveća od pet brojeva unetih sa standardnog ulaza. ulaz izlaz 3 2 1 4 5 14 Analiza: 1. Odredimo zbir svih 5 unesenih brojeva (i sačuvamo u

Више

ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати и

ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати и ИСПИТНА ПИТАЊА (ОКВИРНИ СПИСАК) УОАР2 2018/19 ПРВИ ДЕО ГРАДИВА 1. Написати истинитоносне таблице основних логичких везника (НЕ, И, ИЛИ). 2. Написати истинитоносне таблице изведених логичких везника (НИ,

Више

Uputstvo za korištenje korisničkog web portala AC-U UPUTSTVO ZA KORIŠTENJE KORISNIČKOG WEB PORTALA Izdanje: 1, maj / svibanj 2019 Strana 2 od 1

Uputstvo za korištenje korisničkog web portala AC-U UPUTSTVO ZA KORIŠTENJE KORISNIČKOG WEB PORTALA Izdanje: 1, maj / svibanj 2019 Strana 2 od 1 UPUTSTVO ZA KORIŠTENJE KORISNIČKOG WEB PORTALA Izdanje: 1, maj / svibanj 2019 Strana 2 od 18 SADRŽAJ 1. PREDMET... Error! Bookmark not defined. 2. PODRUČJE PRIMJENE... 4 3. DEFINICIJE I REFERENTNI DOKUMENTI...

Више

Grananje u programu predavač: Nadežda Jakšić

Grananje u programu predavač: Nadežda Jakšić Grananje u programu predavač: Nadežda Jakšić u okviru linijske strukture izvršavaju se sve naredbe u okviru razgranate strukture uvek se ispituje neki uslov; u zavisnosti od toga da li je uslov ispunjen

Више

Algoritmi i arhitekture DSP I

Algoritmi i arhitekture DSP I Univerzitet u Novom Sadu Fakultet Tehničkih Nauka Katedra za računarsku tehniku i međuračunarske komunikacije Algoritmi i arhitekture DSP I INTERNA ORGANIACIJA DIGITALNOG PROCESORA A OBRADU SIGNALA INTERNA

Више

АГЕНЦИЈА ЗА БАНКАРСТВО РЕПУБЛИКЕ СРПСКЕ УПУТСТВО ЗА ЕЛЕКТРОНСКО ДОСТАВЉАЊЕ ПОДАТАКА ИЗ ОБЛАСТИ РЕСТРУКТУРИРАЊА БАНАКА Бања Лука, јули године

АГЕНЦИЈА ЗА БАНКАРСТВО РЕПУБЛИКЕ СРПСКЕ УПУТСТВО ЗА ЕЛЕКТРОНСКО ДОСТАВЉАЊЕ ПОДАТАКА ИЗ ОБЛАСТИ РЕСТРУКТУРИРАЊА БАНАКА Бања Лука, јули године АГЕНЦИЈА ЗА БАНКАРСТВО РЕПУБЛИКЕ СРПСКЕ УПУТСТВО ЗА ЕЛЕКТРОНСКО ДОСТАВЉАЊЕ ПОДАТАКА ИЗ ОБЛАСТИ РЕСТРУКТУРИРАЊА БАНАКА Бања Лука, јули 2019. године Садржај 1. НАЧИН ДОСТАВЉАЊА ИЗВЈЕШТАЈНИХ ДАТОТЕКА... 1

Више

Teorija skupova - blog.sake.ba

Teorija skupova - blog.sake.ba Uvod Matematika je jedan od najomraženijih predmeta kod većine učenika S pravom, dakako! Zapitajmo se šta je uzrok tome? Da li je matematika zaista toliko teška, komplikovana? Odgovor je jednostavan, naravno

Више

Microsoft Word - SIORT1_2019_K1_resenje.docx

Microsoft Word - SIORT1_2019_K1_resenje.docx I колоквијум из Основа рачунарске технике I СИ- 208/209 (24.03.209.) Р е ш е њ е Задатак f(x, x 2, x 3 ) = (x + x x ) x (x x 2 + x ) + x x 2 x 3 f(x, x 2, x 3 ) = (x + x x ) (x x + (x )) 2 + x + x x 2

Више

Microsoft Word - 15ms261

Microsoft Word - 15ms261 Zadatak 6 (Mirko, elektrotehnička škola) Rješenje 6 Odredite sup S, inf S, ma S i min S u skupu R ako je S = { R } a b = a a b + b a b, c < 0 a c b c. ( ), : 5. Skratiti razlomak znači brojnik i nazivnik

Више

Орт колоквијум

Орт колоквијум Задатак 1 I колоквијум из Основа рачунарске технике I - надокнада - 008/009 (16.05.009.) Р е ш е њ е a) Пошто постоје вектори на којима се функција f не јавља и вектори на којима има вредност један, лако

Више

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011)

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011) РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11000 Београд, Мике Аласа 14, ПП: 34, ПАК: 105 305 телефон: (011) 32-82-736, телефакс: (011) 21-81-668 На основу члана 192. став

Више

Funkcije predavač: Nadežda Jakšić

Funkcije predavač: Nadežda Jakšić Funkcije predavač: Nadežda Jakšić funkcije delovi programa koji izvršavaju neki zadatak, celinu; dele na ugrađene, korisničke i main funkciju ugrađene funkcije printf,scanf... da bi se one izvršile potrebno

Више

PowerPoint Presentation

PowerPoint Presentation II Karakteristike C jezika Programski jezik C je viši programski jezik opšte namene. Tesno je povezan sa UNIX OS uz koji je razvijan. Razvio ga je Dennis Ritchie 1970 u Bell Telephone Laboratories, Inc.

Више

PRIRODNO MATEMATIČKI FAKULTET U NIŠU DEPARTMAN ZA RAČUNARSKE NAUKE Utorak, godine PRIJEMNI ISPIT IZ INFORMATIKE 1. Koja od navedenih ekste

PRIRODNO MATEMATIČKI FAKULTET U NIŠU DEPARTMAN ZA RAČUNARSKE NAUKE Utorak, godine PRIJEMNI ISPIT IZ INFORMATIKE 1. Koja od navedenih ekste PRIRODNO MATEMATIČKI FAKULTET U NIŠU DEPARTMAN ZA RAČUNARSKE NAUKE Utorak, 5.06.019. godine PRIJEMNI ISPIT IZ INFORMATIKE 1. Koja od navedenih ekstenzija se najčešće koristi za tekstualne datoteke? a)

Више

РЕПУБЛИКА СРПСКА МИНИСТАРСТВО ПРОСВЈЕТЕ И КУЛТУРЕ РЕПУБЛИЧКИ ПЕДАГОШКИ ЗАВОД Милоша Обилића 39 Бањалука, Тел/факс 051/ , 051/ ; p

РЕПУБЛИКА СРПСКА МИНИСТАРСТВО ПРОСВЈЕТЕ И КУЛТУРЕ РЕПУБЛИЧКИ ПЕДАГОШКИ ЗАВОД Милоша Обилића 39 Бањалука, Тел/факс 051/ , 051/ ;   p РЕПУБЛИКА СРПСКА МИНИСТАРСТВО ПРОСВЈЕТЕ И КУЛТУРЕ РЕПУБЛИЧКИ ПЕДАГОШКИ ЗАВОД Милоша Обилића 9 Бањалука, Тел/факс 01/40-110, 01/40-100; e-mail : pedagoski.zavod@rpz-rs.org Датум: 8.04.018. Републичко такмичење

Више

Programiranje 2 popravni kolokvij, 15. lipnja Ime i prezime: JMBAG: Upute: Na kolokviju je dozvoljeno koristiti samo pribor za pisanje i brisanj

Programiranje 2 popravni kolokvij, 15. lipnja Ime i prezime: JMBAG: Upute: Na kolokviju je dozvoljeno koristiti samo pribor za pisanje i brisanj Upute: Na kolokviju je dozvoljeno koristiti samo pribor za pisanje i brisanje, te službeni šalabahter. Kalkulatori, mobiteli, razne neslužbene tablice, papiri i sl., nisu dozvoljeni! Sva rješenja napišite

Више

Орт колоквијум

Орт колоквијум I колоквијум из Основа рачунарске технике I - надокнада СИ - 008/009 (10.05.009.) Р е ш е њ е Задатак 1 a) Пошто постоје вектори на којима се функција f не јавља и вектори на којима има вредност један,

Више

Programiranje 2 0. predavanje Saša Singer web.math.pmf.unizg.hr/~singer PMF Matematički odsjek, Zagreb Prog2 2019, 0. predavanje p. 1/4

Programiranje 2 0. predavanje Saša Singer web.math.pmf.unizg.hr/~singer PMF Matematički odsjek, Zagreb Prog2 2019, 0. predavanje p. 1/4 Programiranje 2 0. predavanje Saša Singer singer@math.hr web.math.pmf.unizg.hr/~singer PMF Matematički odsjek, Zagreb Prog2 2019, 0. predavanje p. 1/48 Sadržaj predavanja Ponavljanje onog dijela C-a koji

Више

1 Polinomi jedne promenljive Neka je K polje. Izraz P (x) = a 0 + a 1 x + + a n x n = n a k x k, x K, naziva se algebarski polinom po x nad poljem K.

1 Polinomi jedne promenljive Neka je K polje. Izraz P (x) = a 0 + a 1 x + + a n x n = n a k x k, x K, naziva se algebarski polinom po x nad poljem K. 1 Polinomi jedne promenljive Neka je K polje. Izraz P (x) = a 0 + a 1 x + + a n x n = n a k x k, x K, naziva se algebarski polinom po x nad poljem K. Elementi a k K su koeficijenti polinoma P (x). Ako

Више

Projektovanje informacionih sistema i baze podataka

Projektovanje informacionih sistema i baze podataka Realni sistem i informacioni sistem Ulaz Realni sistem Izlaz Unos Baza podataka Izveštaji Realni sistem i informacioni sistem Sistem se definiše kao skup objekata (entiteta) i njihovih međusobnih veza

Више

CIJELI BROJEVI 1.) Kako još nazivamo pozitivne cijele brojeve? 1.) Za što je oznaka? 2.) Ispiši skup prirodnih brojeva! 3.) Kako označavamo skup priro

CIJELI BROJEVI 1.) Kako još nazivamo pozitivne cijele brojeve? 1.) Za što je oznaka? 2.) Ispiši skup prirodnih brojeva! 3.) Kako označavamo skup priro CIJELI BROJEVI 1.) Kako još nazivamo pozitivne cijele brojeve? 1.) Za što je oznaka? 2.) Ispiši skup prirodnih brojeva! 3.) Kako označavamo skup prirodnih brojeva? 4.) Pripada li 0 skupu prirodnih brojeva?

Више

Mere slicnosti

Mere slicnosti Nenad Mitić Matematički fakultet nenad@matf.bg.ac.rs Kako odrediti sličnost/različitost, obrazaca, atributa, dogadjaja... Podaci različitog tipa i strukture Zavisnost od tipa, raspodele, dimenzionalnosti

Више

VIK-01 opis

VIK-01 opis Višenamensko interfejsno kolo VIK-01 Višenamensko interfejsno kolo VIK-01 (slika 1) služi za povezivanje različitih senzora: otpornog senzora temperature, mernih traka u mostnoj vezi, termopara i dr. Pored

Више

Slide 1

Slide 1 predmet Inženjerska informatika Operativni sistem dr Anica Milošević Koji operativni sistemi postoje? Microsoft Windows Linux Suse Red Hat Ubuntu Unix 26.1.2018. 2 Šta je Windows operativni sistem? Operativni

Више

Tutoring System for Distance Learning of Java Programming Language

Tutoring System for Distance Learning of Java Programming Language Obeležene petlje Obeležene petlje Obeležavanje petlje nekim identifikatorom omogućava da se programski tok usmeri na mesto u programu specificirano tim identifikatorom. Ako se iza naredbi break ili continue

Више

Microsoft PowerPoint - 12 PAIK Planiranje rasporeda modula (2016) [Compatibility Mode]

Microsoft PowerPoint - 12 PAIK Planiranje rasporeda modula  (2016) [Compatibility Mode] Integrisana kola sa mešovitim signalima Projektovanje analognih integrisanih kola Prof. Dr Predrag Petković, Dejan Mirković Katedra za elektroniku Elektronski fakultet Niš Sadržaj: I. Uvod II. Lejaut analognih

Више

Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР Школска 2018 /2019. Назив предмета: Информатика и рачунарство Разред: 5. Недељни број часова

Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР Школска 2018 /2019. Назив предмета: Информатика и рачунарство Разред: 5. Недељни број часова Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР јединице 1. 1. Увод у информатику и рачунарство 1. 2. Oрганизација података на рачунару 1. 3. Рад са текстуалним документима 1. 4. Форматирање

Више

Strukture predavač: Nadežda Jakšić

Strukture predavač: Nadežda Jakšić Strukture predavač: Nadežda Jakšić složeni tip podatka; kolekcija promenljivih različitog tipa koje su sakupljene radi lakše manipulacije sintaksa: struct [oznakastrukture] navede { definicija člana strukture;

Више

Microsoft Word - Lekcija 11.doc

Microsoft Word - Lekcija 11.doc Лекција : Креирање графова Mathcad олакшава креирање x-y графика. Треба само кликнути на нови фајл, откуцати израз који зависи од једне варијабле, например, sin(x), а онда кликнути на дугме X-Y Plot на

Више

PowerPoint Presentation

PowerPoint Presentation Prof. dr Pere Tumbas Prof. dr Predrag Matkovid Identifikacija i izbor projekata Održavanje sistema Inicijalizacija projekata i planiranje Implementacija sistema Dizajn sistema Analiza sistema Faze životnog

Више

Razvoj IS

Razvoj IS Fakultet organizacionih nauka Uvod u informacione sisteme Doc. Dr Ognjen Pantelić Modeli razvoja IS Proces proizvodnje uprošćen primer 2 Tokovi informacija u poslovnom sistemu - realan primer 3 Informacioni

Више

Упутство за пријављивање испита путем интернета Да би студент могао да пријави испит путем интернета мора прво да се пријави. Пријављивање се врши у п

Упутство за пријављивање испита путем интернета Да би студент могао да пријави испит путем интернета мора прво да се пријави. Пријављивање се врши у п Упутство за пријављивање испита путем интернета Да би студент могао да пријави испит путем интернета мора прво да се пријави. Пријављивање се врши у посебном дијалог-прозору до кога се долази линком есервис

Више

Državno natjecanje / Osnove informatike Srednje škole Zadaci U sljedećim pitanjima na odgovore odgovaraš upisivanjem slova koji se nalazi ispred

Državno natjecanje / Osnove informatike Srednje škole Zadaci U sljedećim pitanjima na odgovore odgovaraš upisivanjem slova koji se nalazi ispred Zadaci. 8. U sljedećim pitanjima na odgovore odgovaraš upisivanjem slova koji se nalazi ispred točnog odgovora, u za to predviđen prostor. Odgovor Ako želimo stvoriti i pohraniti sliku, ali tako da promjenom

Више

1198. Agencija za elektronske komunikacije i poštansku djelatnost, na osnovu člana 11 stav 4 i člana 98 Zakona o elektronskim komunikacijama (''Sl. li

1198. Agencija za elektronske komunikacije i poštansku djelatnost, na osnovu člana 11 stav 4 i člana 98 Zakona o elektronskim komunikacijama (''Sl. li 1198. Agencija za elektronske komunikacije i poštansku djelatnost, na osnovu člana 11 stav 4 i člana 98 Zakona o elektronskim komunikacijama (''Sl. list Crne Gore'', broj 40/13) i Plana namjene radio-frekvencijskog

Више

Konstrukcija i analiza algoritama Nina Radojičić februar Analiza algoritama, rekurentne relacije 1 Definicija: Neka su f i g dve pozitivne fun

Konstrukcija i analiza algoritama Nina Radojičić februar Analiza algoritama, rekurentne relacije 1 Definicija: Neka su f i g dve pozitivne fun Konstrukcija i analiza algoritama Nina Radojičić februar 2018. 1 Analiza algoritama, rekurentne relacije 1 Definicija: Neka su f i g dve pozitivne funkcije od argumenta n iz skupa N prirodnih brojeva.

Више

Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић

Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аутори: Драган Пејић, Бојан Вујичић, Небојша Пјевалица,

Више

GV-2-35

GV-2-35 РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ЕКОНОМИЈЕ И РЕГИОНАЛНОГ РАЗВОЈА ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11 000 Београд, Мике Аласа 14, пошт. преградак 34, ПАК 105305 телефон: (011) 32 82 736, телефакс: (011)

Више

Microsoft PowerPoint - DAC.ppt [Compatibility Mode]

Microsoft PowerPoint - DAC.ppt [Compatibility Mode] Analogne i digitalne velicine Analogne veličine su kontinualne po vremenu i amplitudi. Digitalne veličine se predstavljaju nizom brojeva. Svaki broj predstavlja jedan odbirak u vremenu. Odbirak ima konačnu

Више

Fortran

Fortran FORTRAN Uvod Računala su samo strojevi: neznaju ništa, ne razmišljaju ni o čemu, ali mogu izuzetnom brzinom i vrlo točno, slijediti precizno napisane upute. Takve upute moraju se napisati u posebnom jeziku

Више

Microsoft PowerPoint - PRI2014 KORIGOVANO [Compatibility Mode]

Microsoft PowerPoint - PRI2014 KORIGOVANO [Compatibility Mode] 1. Broj bitova koji se jednovremeno prenosi i obrađuje unutar procesora naziva se: a) radni takt b) procesorski kod c) procesorska reč d) procesorski takt 1. Broj bitova koji se jednovremeno prenosi i

Више

F-6-14

F-6-14 РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ЕКОНОМИЈЕ И РЕГИОНАЛНИХ ОДНОСА ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11 000 Београд, Мике Аласа 14, поштански преградак 34, ПАК 105305 телефон: (011) 3282-736, телефакс: (011)

Више

Model podataka

Model podataka Fakultet organizacionih nauka Uvod u informacione sisteme Doc. Dr Ognjen Pantelić Modeliranje podataka definisanje strategije snimanje postojećeg stanja projektovanje aplikativno modeliranje implementacija

Више

Microsoft PowerPoint - vezbe 4. Merenja u telekomunikacionim mrežama

Microsoft PowerPoint - vezbe 4. Merenja u telekomunikacionim mrežama Merenja u telekomunikacionim mrežama Merenja telefonskog saobraćaja Primer 1 - TCBH Na osnovu najviših vrednosti intenziteta saobraćaja datih za 20 mernih dana (tabela), pomoću metode TCBH, pronaći čas

Више

Microsoft Word - Projekat iz MIPS-a - simCPU.doc

Microsoft Word - Projekat iz MIPS-a - simCPU.doc UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET KATEDRA ZA ELEKTRONIKU MIKROPROCESORSKI SISTEMI simcpu Mentor Prof. dr. Mile Stojčev Marko Ilić 9921 Nebojša Pejčić 9738 Aleksandar Stojadinović 10085 Bojan Janićijević

Више

KATALOG ZNANJA IZ INFORMATIKE

KATALOG ZNANJA IZ INFORMATIKE KATALOG ZNANJA IZ INFORMATIKE Nacionalni savjet za obrazovanje je na 27. sjednici održanoj 17. marta 2014. godine utvrdio izmjene predmetnoga programa INFORMATIKA za I razred gimnazije. Na zahtijev Pedagoško-psihološke

Више

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011)

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011) РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 000 Београд, Мике Аласа, ПП:, ПАК: 0 0 телефон: (0) -8-7, телефакс: (0) -8-8 На основу члана 9. став. Закона о општем управном

Више

Microsoft Word - 1. REALNI BROJEVI- formulice

Microsoft Word - 1. REALNI BROJEVI- formulice REALNI BROJEVI Skup prirodnih brojeva je N={1,2,3,4,,6,7, } Ako skupu prirodnih brojeva dodamo i nulu onda imamo skup N 0 ={0,1,2,3, } Skup celih brojeva je Z = {,-3,-2,-1,0,1,2,3, } Skup racionalnih brojeva

Више