SPR , IV godina, VHDL – Ispitna pitanja

Величина: px
Почињати приказ од странице:

Download "SPR , IV godina, VHDL – Ispitna pitanja"

Транскрипт

1 VHDL, SPR, II kolokvijum, priprema, 2016 Napomena: Na kolokvijumu dolazi 1 zadatak (40%) i 1 praktican projekat (60%). Radi se u grupama prema utvrdjenom rasporedu od I kolokvijuma. A. ZADAI Priloziti VHDL Kod i Simulacija za sledeće zadatke? 1. 4-bit Unsigned Up ounter with Asynchronous lear The following table shows pin definitions for a 4-bit unsigned up counter with asynchronous clear. IO Pins Description LR Positive-Edge lock Asynchronous lear (active High) Q[3:0] Data Output use ieee.std_logic_unsigned.all; entity counter is port(, LR : in std_logic; Q : out std_logic_vector(3 downto 0)); end counter; architecture archi of counter is signal tmp: std_logic_vector(3 downto 0); process (, LR) if (LR='1') then tmp <= "0000"; elsif ('event and ='1') then tmp <= tmp + 1; Q <= tmp;

2 2. 4-bit Unsigned Up/Down counter with Asynchronous lear The following table shows pin definitions for a 4-bit unsigned up/down counter with asynchronous clear. IO Pins LR Description Positive-Edge lock Asynchronous lear (active High) UP_DOWN up/down count mode selector Q[3:0] Data Output VHDL ode Following is the VHDL code for a 4-bit unsigned up/down counter with asynchronous clear. library ieee; use ieee.std_logic_unsigned.all; entity counter is port(, LR, UP_DOWN : in std_logic; Q : out std_logic_vector(3 downto 0)); end counter; architecture archi of counter is signal tmp: std_logic_vector(3 downto 0); process (, LR) if (LR='1') then tmp <= "0000"; elsif ('event and ='1') then if (UP_DOWN='1') then tmp <= tmp + 1; else tmp <= tmp - 1; Q <= tmp; 3. 4-bit Unsigned Up ounter with Asynchronous Load from Primary Input The following table shows pin definitions for a 4-bit unsigned up counter with asynchronous load from primary input. IO Pins Description

3 Positive-Edge lock ALOAD Asynchronous Load (active High) D[3:0] Q[3:0] Data Input Data Output VHDL ode Following is the VHDL code for a 4-bit unsigned up counter with asynchronous load from primary input. library ieee; use ieee.std_logic_unsigned.all; entity counter is port(, ALOAD : in std_logic; D : in std_logic_vector(3 downto 0); Q : out std_logic_vector(3 downto 0)); end counter; architecture archi of counter is signal tmp: std_logic_vector(3 downto 0); process (, ALOAD, D) if (ALOAD='1') then tmp <= D; elsif ('event and ='1') then tmp <= tmp + 1; Q <= tmp; 4. 8-bit Shift-Left Register with Positive-Edge lock, Asynchronous lear, Serial In, and Serial Out Note Because this example includes an asynchronous clear, XST will not infer SRL16. The following table shows pin definitions for an 8-bit shift-left register with a positiveedge clock, asynchronous clear, serial in, and serial out. IO Pins Description SI LR SO Positive-Edge lock Serial In Asynchronous lear (active High) Serial Output

4 VHDL ode Following is the VHDL code for an 8-bit shift-left register with a positive-edge clock, asynchronous clear, serial in, and serial out. library ieee; entity shift is port(, SI, LR : in std_logic; SO : out std_logic); end shift; architecture archi of shift is signal tmp: std_logic_vector(7 downto 0); process (, LR) if (LR='1') then tmp <= (others => '0'); elsif ('event and ='1') then tmp <= tmp(6 downto 0) & SI; SO <= tmp(7); 5. 8-bit Shift-Left/Shift-Right Register with Positive-Edge lock, Serial In, and Parallel Out Note For this example XST will not infer SRL16. The following table shows pin definitions for an 8-bit shift-left/shift-right register with a positive-edge clock, serial in, and serial out. IO Pins SI Description Positive-Edge lock Serial In LEFT_RIGHT Left/right shift mode selector PO[7:0] Parallel Output VHDL ode Following is the VHDL code for an 8-bit shift-left/shift-right register with a positive-edge clock, serial in, and serial out. library ieee; entity shift is port(, SI, LEFT_RIGHT : in std_logic;

5 PO : out std_logic_vector(7 downto 0)); end shift; architecture archi of shift is signal tmp: std_logic_vector(7 downto 0); process () if ('event and ='1') then if (LEFT_RIGHT='0') then tmp <= tmp(6 downto 0) & SI; else tmp <= SI & tmp(7 downto 1); PO <= tmp; 6. Logical shifter The following table shows pin descriptions for a logical shifter. IO pins D[7:0] SEL Description Data Input shift distance selector SO[7:0] Data Output VHDL Following is the VHDL code for a logical shifter. library ieee; use ieee.numeric_std.all; entity lshift is port(di : in unsigned(7 downto 0); SEL : in unsigned(1 downto 0); SO : out unsigned(7 downto 0)); end lshift; architecture archi of lshift is with SEL select SO <= DI when "00", DI sll 1 when "01", DI sll 2 when "10", DI sll 3 when others; 7. RING JONSON OUNTER library IEEE;

6 use IEEE.STD_LOGI_1164.ALL; use IEEE.NUMERI_STD.ALL; entity ring_counter is port ( DAT_O : out unsigned(3 downto 0); RST_I : in std_logic; LK_I : in std_logic ); end ring_counter; architecture Behavioral of ring_counter is signal temp : unsigned(3 downto 0):=(others => '0'); DAT_O <= temp; process(lk_i) if( rising_edge(lk_i) ) then if (RST_I = '1') then temp <= (0=> '1', others => '0'); else temp(1) <= temp(0); temp(2) <= temp(1); temp(3) <= temp(2); temp(0) <= temp(3); end Behavioral; library IEEE; use IEEE.STD_LOGI_1164.ALL; use IEEE.NUMERI_STD.ALL; entity johnson_counter is port ( DAT_O : out unsigned(3 downto 0); RST_I : in std_logic; LK_I : in std_logic ); end johnson_counter; architecture Behavioral of johnson_counter is signal temp : unsigned(3 downto 0):=(others => '0');

7 DAT_O <= temp; process(lk_i) if( rising_edge(lk_i) ) then if (RST_I = '1') then temp <= (others => '0'); else temp(1) <= temp(0); temp(2) <= temp(1); temp(3) <= temp(2); temp(0) <= not temp(3); end Behavioral;

8 B. Praktični problemi Demonstrirati rad kola na DE2-70 ploči? 1. Projektovati kolo treptućeg svijetla koje se pogoni klokom frekvencije 1Hz ili 2Hz na pinu LED. Ulaz je LK 50MHz, a izlaz LEDR[0]. Frekvencija treperenja se bira parametrom SEL (SW1) a. Upotrebljava se DE2-70 ploča. L K ( P IN _ AD15) L E D ( P IN _ AJ6 ) D IV ID E R SEL (1HZ,2HZ) 2. Projektovati kolo treptućeg svijetla koje se pogoni klokom frekvencije LK 50MHz, a na izlazima LED1 i LED2 daje signal od 1Hz i 2Hz. START/STOP pogoni ili stopira rad kola (SW1). Upotrebljava se DE2-70 ploča. Izabrati led diode sa ploce po zelji. L K ( P IN _ AD15) START/ STOP D IV ID E R LED1, 1Hz LED2, 2Hz 3. Projektovati kolo sifrarnika. Ulazi su priključeni na SW0 (PIN_AA23), SW1 (PIN_AB26), SW2 (PIN_AB25), SW3 (PIN_A27) prekidače koji simuliraju dovodjenje 0 ili 1. SW4(PIN_A26) je prekidač koji dozvoljava rad Sistema. SW4 =1, sifrarnik radi ili obrnuto. Postoje 2 izlaza OUT1(PIN_AJ6) i OUT2(PIN_AK5) koji pokazuju da li je sifra u redu ili nije, a vezani su na odgovarajuće LED diode. 4. Projektovati kolo alarma za automobil. Alarm je aktivan sa ON (SW5). SW0-SW4 simuliraju otvorena vrata. Izlaz OUT sa logickom 1 omogucava rad flash kola koje predstavlja divider sa izlaznom frekvencijom od 1Hz. Ako su bilo koja od vrata otvorena izlazna LED dioda blinkuje ili obrnuto.

9 sw0 sw1 sw2 ALARM ON (SW5) sw3 sw4 OUT L K ( P IN _ AD15) L E D ( P IN _ AJ6 ) D IV ID E R 1SE FLASH 5. Projektovati kolo brojača koji se pogoni klokom frekvencije 1Hz, a moze da broji na gore ili na dolje, sto zavisi od stanja ulaza U_D. Brojac ima i asinhroni clear ulaz, LEAR. Izlazi brojaca, D[3..0] su vezani na LED diode na DE2-70 ploči. U_D: SW0 LEAR: KEY0 LK: 50MHz clock D[0]: LEDR0, D[0]: LEDR1, D[0]: LEDR2, D[0]: LEDR3, Parameter Value Type N Signed Integer div PIN_AD15 LK INPUT V clk clk_new u_d_counter Parameter Value Type N 4 Signed Integer inst LR UP_DOWN inst1 Q[n-1..0] OUTPUT D[3..0] PIN_AJ6 PIN_AK5 PIN_AJ5 PIN_AJ4 PIN_T29 LEAR INPUT V PIN_AA23 U_D INPUT V 6. Projektovati kolo bcd_7seg dekodera. d0, d1, d2, d3 ulazi su priključeni na SW0, SW1, SW2, SW3 prekidače koji simuliraju dovodjenje 0 ili 1. a, b,c,d, e,f,g izlazi su prilključeni na odgovarajuće segmente displeja. Raspored pinova čipa na koje su vezani odgovarajući ulazi i izlazi

10

Microsoft PowerPoint - 12a PEK EMT VHDL 1 od 4 - Uvod (2011).ppt [Compatibility Mode]

Microsoft PowerPoint - 12a PEK EMT VHDL 1 od 4 - Uvod (2011).ppt [Compatibility Mode] VHDL jezik za opis hardvera VHDL jezik za opis hardvera VHDL jezik za opis hardvera Prof. Dr Predrag Petković Dr Miljana Milić Sadržaj 1. Šta je VHDL? 2. Opis hardvera 3. Signali 4. Osnove VHDL pravopisa

Више

Microsoft PowerPoint - SRV LV5.ppt [Compatibility Mode]

Microsoft PowerPoint - SRV LV5.ppt [Compatibility Mode] ATMEGA16 Timeri/counteri Sistemi u realnom vremenu Laboratorijske vježbe br. 5 Timeri/counteri Sposobnost mikrokontorlera da obavljaju vremenski-zavisne zadatke je jedan od glavnih razloga za njihovo korištenje

Више

ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera

ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera CILJ VEŽBE Cilj ove vežbe je da se studenti kreiranjem

Више

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i za generisanje željenih izlaznih signala (slika 1).

Више

ODE_0 [Compatibility Mode]

ODE_0 [Compatibility Mode] Osnovi digitalne elektronike 2+2+1 Dr Milan Ponjavić Dr Nenad Jovičić Mr Goran Savić http://tnt.etf.bg.ac.rs/~si2ode/ Formiranje ocene 3 x 20 bodova po kolokvijumu 3 domaca zadatka ukupno 20 bodova 2 x

Више

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode]

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode] ij Cilj: Dobiti što više informacija o ponašanju digitalnih kola za što kraće vreme. Metod: - Detaljni talasni oblik signala prikazati samo na nivou logičkih stanja. - Simulirati ponašanje kola samo u

Више

LAB PRAKTIKUM OR1 _ETR_

LAB PRAKTIKUM OR1 _ETR_ UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA, TELEKOMUNIKACIJE I RAČUNARI PREDMET: OSNOVE RAČUNARSTVA 1 FOND ČASOVA: 2+1+1 LABORATORIJSKA VJEŽBA BROJ 1 NAZIV: REALIZACIJA

Више

LAB 4 - Binarni komparator

LAB 4 - Binarni komparator UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA,TELEKOMUNIKACIJE I RAČUNARI PREDMET: PROJEKTOVANJE DIGITALNIH SISTEMA FOND ČASOVA: 3 + 0 + 1 LABORATORIJSKA VJEŽBA NAZIV: REALIZACIJA

Више

Logicko projektovanje racunarskih sistema I

Logicko projektovanje racunarskih sistema I POKAZNA VEŽBA 10 Strukture za računanje Potrebno predznanje Urađena pokazna vežba 8 Poznavanje aritmetičkih digitalnih sistema i aritmetičko-logičkih jedinica Osnovno znanje upravljačkih jedinica digitalnih

Више

Elektrotehnički fakultet Univerziteta u Beogradu Relejna zaštita laboratorijske vežbe Vežba 3: ISPITIVANJE ELEKTRONSKOG FREKVENCIJSKOG RELEJA RFN-30 U

Elektrotehnički fakultet Univerziteta u Beogradu Relejna zaštita laboratorijske vežbe Vežba 3: ISPITIVANJE ELEKTRONSKOG FREKVENCIJSKOG RELEJA RFN-30 U Vežba 3: ISPITIVANJE ELEKTRONSKOG FREKVENCIJSKOG RELEJA RFN-30 U vežbi se ispituje statički frekvencijski petostepeni relej RFN-30. Šema za njegovo ispitivanje i veza opreme prikazani su na Sl. 3.1.a i

Више

Microsoft PowerPoint - Timer0 16F887.ppt [Compatibility Mode]

Microsoft PowerPoint - Timer0 16F887.ppt [Compatibility Mode] TAJMERI I BROJAČI: PIC16F887 PIC 16F887 mikrokontroler ima tri tajmera/brojača: 8 - bitni tajmer/brojač (registar TMR0) 16 - bitni tajmer/brojač (registar TMR1H TMR1L) 8 - bitni tajmer/brojač (registar

Више

Microsoft PowerPoint - DAC.ppt [Compatibility Mode]

Microsoft PowerPoint - DAC.ppt [Compatibility Mode] Analogne i digitalne velicine Analogne veličine su kontinualne po vremenu i amplitudi. Digitalne veličine se predstavljaju nizom brojeva. Svaki broj predstavlja jedan odbirak u vremenu. Odbirak ima konačnu

Више

Lekcija 4 Povezivanje NI DAQ hardvera. Testiranje i simulacija NI DAQ hardvera. Akvizicija pomoću Express VIs 1. Cilj vežbe I deo Cilj vežbe je da stu

Lekcija 4 Povezivanje NI DAQ hardvera. Testiranje i simulacija NI DAQ hardvera. Akvizicija pomoću Express VIs 1. Cilj vežbe I deo Cilj vežbe je da stu Lekcija 4 Povezivanje NI DAQ hardvera. Testiranje i simulacija NI DAQ hardvera. Akvizicija pomoću Express VIs 1. Cilj vežbe I deo Cilj vežbe je da studente: upozna sa testiranjem National Instruments Data

Више

ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU

ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU HARDVERSKA IMPLEMENTACIJA SKEIN ALGORITMA ZA HEŠIRANJE Master rad Kandidat: Strahinja Jović 3187/2013 Mentor: doc. dr Zoran Čiča Beograd, Septembar 2015.

Више

Microsoft PowerPoint - 13 PIK (Mentor Graphic ASIC).ppt

Microsoft PowerPoint - 13 PIK (Mentor Graphic ASIC).ppt Projektovanje integrisanih kola Delimično projektovanje po narudžbini Sadržaj: Sadržaj: I. I. Uvod Uvod - sistem projektovanja II. II. CMOS Analiza Proces kola primenom računara III. III. Potpuno Optimizacija

Више

RIP (računalom integrirana proizvodnja, engl. CIM) Embedded računala Internet of Things (IoT) Open source hardware i software Hardware maker movement

RIP (računalom integrirana proizvodnja, engl. CIM) Embedded računala Internet of Things (IoT) Open source hardware i software Hardware maker movement RIP (računalom integrirana proizvodnja, engl. CIM) Embedded računala Internet of Things (IoT) Open source hardware i software Hardware maker movement Cloud platforme Sadržaj seminarskog rada: (samostalno

Више

Slide 1

Slide 1 SVEUČILIŠTE U MOSTARU FAKULTET STROJARSTVA I RAČUNARSTVA SUSTAVI BAZA PODATAKA Doc.dr.sc. GORAN KRALJEVIĆ SUSTAVI BAZA PODATAKA 1 Sustavi baza podataka Web: http://www.uni-mo.ba/~goran Pitanja, primjedbe,

Више

Увод у организацију и архитектуру рачунара 1

Увод у организацију и архитектуру рачунара 1 Увод у организацију и архитектуру рачунара 2 Александар Картељ kartelj@matf.bg.ac.rs Напомена: садржај ових слајдова је преузет од проф. Саше Малкова Увод у организацију и архитектуру рачунара 2 1 Секвенцијалне

Више

ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU

ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU HARDVERSKA IMPLEMENTACIJA JH ALGORITMA ZA HEŠIRANJE Master rad Kandidat: Milica Mijatović 2013/3306 Mentor: doc. dr Zoran Čiča Beograd, Maj 2015. SADRŢAJ

Више

Microsoft Word - SIORT1_2019_K1_resenje.docx

Microsoft Word - SIORT1_2019_K1_resenje.docx I колоквијум из Основа рачунарске технике I СИ- 208/209 (24.03.209.) Р е ш е њ е Задатак f(x, x 2, x 3 ) = (x + x x ) x (x x 2 + x ) + x x 2 x 3 f(x, x 2, x 3 ) = (x + x x ) (x x + (x )) 2 + x + x x 2

Више

Microsoft PowerPoint - 12 PAIK Planiranje rasporeda modula (2016) [Compatibility Mode]

Microsoft PowerPoint - 12 PAIK Planiranje rasporeda modula  (2016) [Compatibility Mode] Integrisana kola sa mešovitim signalima Projektovanje analognih integrisanih kola Prof. Dr Predrag Petković, Dejan Mirković Katedra za elektroniku Elektronski fakultet Niš Sadržaj: I. Uvod II. Lejaut analognih

Више

Microsoft Word - 4.Ee1.AC-DC_pretvaraci.10

Microsoft Word - 4.Ee1.AC-DC_pretvaraci.10 AC-DC ПРЕТВАРАЧИ (ИСПРАВЉАЧИ) Задатак 1. Једнофазни исправљач са повратном диодом, са слике 1, прикључен на напон 1 V, 5 Hz напаја потрошач велике индуктивности струјом од 1 А. Нацртати таласне облике

Више

KDP

KDP Региони Региони Програмска парадигма за приступ критичној секцији Увођење посебне синтаксе за експлицитно означавање критичних секција Обезбеђивање међусобног искључивања процеса Условни критични регион

Више

zad_6_2.doc

zad_6_2.doc .. S- i S- komunikacioni standardi Zadatak. Pomoću MX i čipa, potrebno je realizovati konvertor S- na S-. MX ima raspored pinova kao na slici..,0μf +V +V ULZ V CC T IN T IN OUT IN T OUT 0 9 OUT IN T OUT

Више

VIK-01 opis

VIK-01 opis Višenamensko interfejsno kolo VIK-01 Višenamensko interfejsno kolo VIK-01 (slika 1) služi za povezivanje različitih senzora: otpornog senzora temperature, mernih traka u mostnoj vezi, termopara i dr. Pored

Више

n50

n50 N50LUSK Vodič za ažuriranje TV softvera TV Software Update Guide Hrvatski vodič za ažuriranje 1. Korak Provjerite ime modela na stražnjoj strani TV-a. Prije preuzimanja softvera za ažuriranje, molimo provjerite

Више

IRIM-UKI Croatian Makers

IRIM-UKI Croatian Makers PROJEKT UMIJEĆE KORIŠTENJA INFORMACIJA PROGRAM IZVANNASTAVNE AKTIVNOSTI «CROATIAN MAKERS» Autori/ce programa: Martina Novosel, Edita Šorman Pustaj, Dragutin Kuhar (Osnovna škola Klinča Sela ) Ana Tolić,

Више

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x I колоквијум из Основа рачунарске технике I СИ- / (...) Р е ш е њ е Задатак Тачка А Потребно је прво пронаћи вредности функција f(x, x, x ) и g(x, x, x ) на свим векторима. f(x, x, x ) = x x + x x + x

Више

Za savremeno stanovanje classic line PRIMERA 1 NOPALLUX

Za savremeno stanovanje classic line PRIMERA 1 NOPALLUX Za savremeno stanovanje classic line PRIMERA 1 NOPAL Lux Lider u kvalitetu i uslugama NOPAL Lux d.o.o. je vodeći proizvođač elektroinstalacionog materijala u Srbiji i u regionu. Preduzeće zapošljava 130

Више

pricalica.PDF

pricalica.PDF Naziv projekta: Pricalica Projekt napravio:damir Petrinic Koristeni materijal: Mikrokontroler Atmel AT89C52 ISD4004 Voice memory LCD 2*16 znakova Projekt je moja ideja i moja realizacija i ne dozvoljavam

Више

NIZOVI

NIZOVI NIZOVI II gimnazije NIZOVI su složeni tip podatka u koji se može smjestiti više varijabli(podataka) JEDNODIMENZIONALNI DVODIMENZIONALNI VIŠEDIMENZIONALNI Milenko Soldat 2 JEDNODIMENZIONALNI NIZOVI Sintaksa:

Више

Орт колоквијум

Орт колоквијум II колоквијум из Основа рачунарске технике I - 27/28 (.6.28.) Р е ш е њ е Задатак На улазе x, x 2, x 3, x 4 комбинационе мреже, са излазом z, долази четворобитни BCD број. Ако број са улаза при дељењу

Више

Kombinatorno testiranje

Kombinatorno testiranje Kombinatorno testiranje Uvod Na ponašanje aplikacije utiče puno faktora, npr. ulazne vrednosti, konfiguracije okruženja. Tehnike kao što je podela na klase ekvivalencije ili analiza graničnih vrednosti

Више

Microsoft PowerPoint - 1.DE.RI3g.09.Uvod

Microsoft PowerPoint - 1.DE.RI3g.09.Uvod Дејан Јокић Миломир Шоја Предмет: ДИГИТАЛНА ЕЛЕКТРОНИКА Број кредита: 6 Седмично часова: 2+2+12+1 (П+АВ+ЛВ) Укупно часова: 30+45 Пун назив ДИГИТАЛНА ЕЛЕКТРОНИКА Скраћени назив Статус Семестар ЕСПБ Фонд

Више

Microsoft PowerPoint - PRI2014 KORIGOVANO [Compatibility Mode]

Microsoft PowerPoint - PRI2014 KORIGOVANO [Compatibility Mode] 1. Broj bitova koji se jednovremeno prenosi i obrađuje unutar procesora naziva se: a) radni takt b) procesorski kod c) procesorska reč d) procesorski takt 1. Broj bitova koji se jednovremeno prenosi i

Више

1. BP LED SIJALICA E27 BP LED Sijalica je tip štedne sijalice (SSL) koja koristi diode koje emitiraju svijetlost (LEDs) kao izvor svijetlosti. Te diod

1. BP LED SIJALICA E27 BP LED Sijalica je tip štedne sijalice (SSL) koja koristi diode koje emitiraju svijetlost (LEDs) kao izvor svijetlosti. Te diod 1. BP LED SIJALICA E27 BP LED Sijalica je tip štedne sijalice (SSL) koja koristi diode koje emitiraju svijetlost (LEDs) kao izvor svijetlosti. Te diode komprimiraju klastere LED-a u jedinstveno plastično

Више

UNIVERZITET UKSHIN HOTI PRIZREN FAKULTET RAČUNARSKIH NAUKA PROGRAM: TIT - BOS NASTAVNI PLAN-PROGRAM SYLLABUS Nivo studija Bachelor Program TIT-Bos Aka

UNIVERZITET UKSHIN HOTI PRIZREN FAKULTET RAČUNARSKIH NAUKA PROGRAM: TIT - BOS NASTAVNI PLAN-PROGRAM SYLLABUS Nivo studija Bachelor Program TIT-Bos Aka UNIVERZITET UKSHIN HOTI PRIZREN FAKULTET RAČUNARSKIH NAUKA PROGRAM: TIT - BOS NASTAVNI PLAN-PROGRAM SYLLABUS Nivo studija Bachelor Program TIT-Bos Akademska godina 018/019 PREDMET Godina studija I Status

Више

Plastenik Upute.cdr

Plastenik Upute.cdr PLASTENIK upravljanje v.02 Pregled glavnog ureñaja Pokazivač ( displej )... 1 Tipke za namještanje svih parametara... Mjesto za rezervni prekidač... 3 Vremenski 2 - uključenje, isključenje... Zalijevanje

Више

Projektovanje digitalnih sistema

Projektovanje digitalnih sistema Projektovanje digitalnih sistema Metodologije dizajna Metodologije dizajna Odozgo ka dolje (top-down) Definiše se blok najvišeg nivoa i identifikuju se manji blokovi neophodni za njegovu implementaciju

Више

CRNOGORSKI KOMITET CIGRE Fuštić Željko doc. dr Martin Ćalasan Elektrotehnički fakultet,ucg Simulacione i eksperim

CRNOGORSKI KOMITET CIGRE Fuštić Željko doc. dr Martin Ćalasan Elektrotehnički fakultet,ucg Simulacione i eksperim CRNOGORSKI KOMITET CIGRE Fuštić Željko zeljkofustic@gmail.com doc. dr Martin Ćalasan Elektrotehnički fakultet,ucg martinc@ac.me Simulacione i eksperimentalne karakteristike asinhronog generatora KRATAK

Више

Microsoft Word - WP_kolokvij_2_rjesenja.doc

Microsoft Word - WP_kolokvij_2_rjesenja.doc Napomena WEB PROGRAMIRANJE I PRIMJENE DRUGI KOLOKVIJ vrijeme predviđeno za rješavanje kolokvija je 60 minuta kolokvij se sastoji od 15 pitanja, a ukupan broj bodova je 165 (uz svaki zadatak istaknut je

Више

PROGRAMIRANJE Program je niz naredbi razumljivih računalu koje rješavaju neki problem. Algoritam je postupak raščlanjivanja problema na jednostavnije

PROGRAMIRANJE Program je niz naredbi razumljivih računalu koje rješavaju neki problem. Algoritam je postupak raščlanjivanja problema na jednostavnije PROGRAMIRANJE Program je niz naredbi razumljivih računalu koje rješavaju neki problem. Algoritam je postupak raščlanjivanja problema na jednostavnije korake. Uz dobro razrađen algoritam neku radnju ćemo

Више

Osnovni programiranja I

Osnovni programiranja I Programabilni uređaji i objektno orjentisano programiranje Uvod Programabilni uređaji i objektno orjentisano programiranje Predmetni nastavnik: Prof. dr Vesna Popović-Bugarin kabinet 322 Konsultacije četvrtkom

Више

Microsoft Word - Svrha projekta.doc

Microsoft Word - Svrha projekta.doc S V E U Č I L I Š T E U Z A G R E B U FAKULTET ELEKTROTEHNIKE I RAČUNARSTVA Zavod za elektroničke sustave i obradbu informacija FER 2 program, 1. godina diplomskog studija Kolegij: Sustavi za praćenje

Више

Slide 1

Slide 1 Симулација ПМ Верификација и валидација модела Два корака у процени модела у односу на моделирани систем: 1. Верификација модела да ли модел исправно извршава постављене претпоставке. 2. Валидација модела

Више

Oblikovanje i analiza algoritama 5. predavanje Saša Singer web.math.pmf.unizg.hr/~singer PMF Matematički odsjek, Zagreb OAA 2017, 5. pr

Oblikovanje i analiza algoritama 5. predavanje Saša Singer web.math.pmf.unizg.hr/~singer PMF Matematički odsjek, Zagreb OAA 2017, 5. pr Oblikovanje i analiza algoritama 5. predavanje Saša Singer singer@math.hr web.math.pmf.unizg.hr/~singer PMF Matematički odsjek, Zagreb OAA 2017, 5. predavanje p. 1/68 Sadržaj predavanja Nehomogene rekurzije

Више

Opticum HD - Uputstvo za skeniranje kanala.docx

Opticum HD - Uputstvo za skeniranje kanala.docx SKENIRANJE KANALA Ukoliko već imate memorisane kanale, potrebno je da prije početka skeniranja izbrišete sve kanale, a to ćete uraditi na sljedeći način: Pritisnite dugme MENU na daljinskom upravljaču,

Више

Jasna Kellner

Jasna Kellner 1 Broji. 19 Ne pričaj. 37 Reci "Hvala". 2 Ne kasni. (Budi točan.) 20 Ne razumijem. 38 Reci "Molim". 3 Čitaj. 21 Ne spavaj. 39 Sjedni dolje. 4 Crtaj 22 Ne varaj. 40 Slušaj i ponovi. 5 Razumiješ li? 23 Obriši

Више

UPUTSTVO ZA KRETANJE KROZ EON KORISNIČKI INTERFEJS 1

UPUTSTVO ZA KRETANJE KROZ EON KORISNIČKI INTERFEJS 1 1 Dobrodošli u EON svijet! SADRŽAJ: 1. EON korisnički interfejs...3 1.1 Početna...3 1.2 Kanali...3 1.2.1 Upravo na TV-u...3 1.2.2 TV kanali...4 1.2.3 Radio kanali...4 1.3 Video klub...5 1.4 Moji sadržaji...5

Више

Kako spriječiti petlju

Kako spriječiti petlju KAKO SPRIJEČITI ITI POJAVU PETLJE U HIJERARHIJSKOJ STRUKTURI PODATAKA Zlatko Sirotić, dipl.ing. Istra informatički inženjering d.o.o. Pula Stranica 1 Tema je rjer ješavanje određenog enog tipa poslovnih

Више

F84 Zahtjev za priznavanje inostrane visokoškolske kvalifikacije (zaokružiti) Application for academic recognition of foreign higher qualification (ci

F84 Zahtjev za priznavanje inostrane visokoškolske kvalifikacije (zaokružiti) Application for academic recognition of foreign higher qualification (ci Zahtjev za priznavanje inostrane visokoškolske kvalifikacije (zaokružiti) Application for academic recognition of foreign higher qualification (circle) A. U SVRHU ZAPOŠLJAVANJA - STRUČNO PRIZNAVANJE /

Више

MY19.25 Cenovnik Novi Ecosport

MY19.25 Cenovnik Novi Ecosport MY19.25 Cenovnik Novi Ecosport SPECIJALNA PONUDA Oprema uključena u cenu: *Metalik boja 1 *Winter Pack 1 *Automatski klima uredjaj *16" aluminijumski naplatci *Zatamnjena stakla iza B stuba *Krovni nosači

Више

Универзитет у Нишу Природно-математички факултет Увод у рачунарство Број индекса 200 II домаћи задатак 1. За прекидачку функцију ff(xx 1, xx 2, xx 3 )

Универзитет у Нишу Природно-математички факултет Увод у рачунарство Број индекса 200 II домаћи задатак 1. За прекидачку функцију ff(xx 1, xx 2, xx 3 ) Универзитет у Нишу Природно-математички факултет Увод у рачунарство Број индекса 200 II домаћи задатак 1. За прекидачку функцију ff(xx 1, xx 2, xx 3 ) = (xx 1 + xx 2 + xx 3 )(xx 1 + xx 2 + )(xx 3 1 + xx

Више

Logičke izjave i logičke funkcije

Logičke izjave i logičke funkcije Logičke izjave i logičke funkcije Građa računala, prijenos podataka u računalu Što su logičke izjave? Logička izjava je tvrdnja koja može biti istinita (True) ili lažna (False). Ako je u logičkoj izjavi

Више

M03L Wired Laser Mouse

M03L Wired Laser Mouse 1. Sadržaj pakovanja 1. Prestigio auto kamera DVR 2. Daljinski upravljač 3. Oprema za montiranje 4. Punjač za automobil 5. USB kabl 6. AV kabl 11. Punjiva baterija 7. Adapter 8. Micro SD 9. CD ROM 10.

Више

Fakultet tehničkih nauka, Novi Sad Predmet: ISIBP

Fakultet tehničkih nauka, Novi Sad Predmet: ISIBP Fakultet tehničkih nauka, Novi Sad Predmet: Informacioni sistemi i baze podataka dr Slavica Kordid Angelina Vujanovid Marina Nenid Marija Kukid Sistem ocenjivanja Ukupno: 100 bodova Teoretski deo (predavanja)

Више

SEMINAR

SEMINAR 1. Cilj vežbe Lekcija 9 Akvizicija i osnovna obrada slike u LabVIEW Cilj vežbe je da studente upozna sa: Akvizicijom slike. Osnovnim koracima pri obradi slike Zadatak 9.1. Povezati USB kameru i kreirati

Више

PASTIME d

PASTIME d PASTIME d.o.o. za turizam, promet i usluge Tel./Fax: +387 (0)35 278 557 Franjevačka 22 Email: intour@bih.net.ba 75000 Tuzla, Bosna i Hercegovina www.intour.ba Registarski broj.: 032-0-Reg-11-002385, Ident.

Више

_ _BDA_Unterbauradio_Auna.indd

_ _BDA_Unterbauradio_Auna.indd Poštovani, Zahvaljujemo vam se na kupnji. Molimo pročitajte ovaj priručnik i slijedite ove upute kako biste izbjegli oštećenja na uređaju. Za štete uzrokovane nepridržavanjem instrukcija i uputa, ne snosimo

Више

Energetski pretvarači 1 Februar zadatak (18 poena) Kondenzator C priključen je paralelno faznom regulatoru u cilju kompenzacije reaktivne sna

Energetski pretvarači 1 Februar zadatak (18 poena) Kondenzator C priključen je paralelno faznom regulatoru u cilju kompenzacije reaktivne sna 1. zadatak (18 poena) Kondenzator C priključen je paralelno faznom regulatoru u cilju kompenzacije reaktivne snage osnovnog harmonika. Induktivnost prigušnice jednaka je L = 10 mh, frekvencija mrežnog

Више

Microsoft Word - sm - ISPITNA PITANJA1.doc

Microsoft Word - sm - ISPITNA PITANJA1.doc Elektrotehnički fakultet Sarajevo Odjsjek za Ai E Specijalna mjerenja ISPITNA PITANJA Grupa A- Analiza karakteristika i opšta teorija : A.1. Analizirajte karakteristike GPIB komunikacija i protokola koji

Више

Katalog_novi_web

Katalog_novi_web www.osvetlime.com www.osvetlime.rs Pinkijeva 8, Zemun, Beograd Telefon: 064/137-54-53 e-mail: office@osvetlime.rs Garancija 2 godine Cene su izražene bez -a Plaćanje u dinarskoj protivvrednosti po srednjem

Више

Ovdje dolazi logo BLAŽ BAROMIĆ

Ovdje dolazi logo BLAŽ BAROMIĆ DIGITALNI FREKVENCMETAR Šumiga I. 1, Behin G. 1 1 Veleu ilište u Varaždinu, Varaždin, Hrvatska Sažetak: U lanku su u uvodnom dijelu opisani osnovni principi rada digitalnih frekvencmetara i digitalnih

Више

PowerPoint Presentation

PowerPoint Presentation Programski jezici i strukture podataka UVOD Izvođači nastave Srđan Popov (JUG 215) Petar Marić (JUG 105) Milena Počuča (JUG 215) Milica Milutinović (JUG 215) Termini konsultacija naknadno Cilj vežbi Sticanje

Више

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011)

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011) РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11000 Београд, Мике Аласа 14, ПП: 34, ПАК: 105 305 телефон: (011) 32-82-736, телефакс: (011) 21-81-668 На основу члана 192. став

Више

Microsoft PowerPoint - SRV LV1 2.ppt [Compatibility Mode]

Microsoft PowerPoint - SRV LV1 2.ppt [Compatibility Mode] Sistemi u realnom vremenu Laboratorijske vježbe br. 1 MATLAB/SIMULINK/STATEFLOW Mašina konačnog stanja Mašina konačnog stanja Mašina konačnog stanja (finite state machine) je model koji opisuje ponašanje

Више

Informacije o proizvodu Instalacija-iKey-čitača AZU30000 TCS TürControlSysteme AG Geschwister-Scholl-Str. 7 D Genthin Technische Änderungen vorb

Informacije o proizvodu Instalacija-iKey-čitača AZU30000 TCS TürControlSysteme AG Geschwister-Scholl-Str. 7 D Genthin Technische Änderungen vorb Informacije o proizvodu Instalacija-iKey-čitača AZU30000 2 12/2004 Bezbednosne napomene! Sklapanje, instalacija i puštanje u rad mora biti izvršeno od strane kvalifikovane osobe! Za rad na sistemima sa

Више

KDP

KDP Дистрибуирано програмирање Прослеђивање порука Комуникациони сервиси у једном дистрибуираном систему реализовани су на бази сандучића типа mbx за пренос порука типа msg. Претпоставићемо да тип msg обухвата

Више

Matematika 2 za kemi are prvi kolokvij, 27. travnja Napomene. Dopu²tena pomagala za rje²avanje kolokvija su: kalkulator, tiskane ili rukom pisan

Matematika 2 za kemi are prvi kolokvij, 27. travnja Napomene. Dopu²tena pomagala za rje²avanje kolokvija su: kalkulator, tiskane ili rukom pisan Matematika 2 za kemi are prvi kolokvij, 27. travnja 2018. Napomene. Dopu²tena pomagala za rje²avanje kolokvija su: kalkulator, tiskane ili rukom pisane tablice s formulama (nisu dopu²tene logaritamske

Више

Slight JESEN 2018 Komercijalna Cene A.cdr

Slight JESEN 2018 Komercijalna Cene A.cdr JESEN 2018 KOMERCIJALN A RASVETA CENOVNIK A LED MODULI 839,- 1.5W 719,- 1.5W 719,- 1.5W DC 12V DC 12V DC 12V SL LM3030 LED modul set 10/1 sa sočivom, IP65, 10.000K, 50x30mm ugao 20-60 SL LM3030/3 LED modul

Више

Prezentator: Nataša Dvoršak Umag, 20.listopad 2006

Prezentator: Nataša Dvoršak  Umag, 20.listopad 2006 IIS BURIN BURIN HD - HelpDesk Nenad Lenđel, projektant programer IS-a HROUG 2007, Rovinj, 19. Listopada 2007.g. ULJANIK IRI d.o.o. SADRŽAJ Uvod Ciljevi izgradnje HelpDeska Funkcijske cjeline HelpDesk integracija

Више

Programiranje 1 Beleške sa vežbi Školska 2007/2008 godina Matematički fakultet, Beograd Jelena Tomašević December 5, 2007

Programiranje 1 Beleške sa vežbi Školska 2007/2008 godina Matematički fakultet, Beograd Jelena Tomašević December 5, 2007 Programiranje 1 Beleške sa vežbi Školska 2007/2008 godina Matematički fakultet, Beograd Jelena Tomašević December 5, 2007 2 Sadržaj 1 Programski jezik C 5 1.1 Oblast važenja lokalnih promenljivih..........................

Више

F-6-59

F-6-59 САВЕЗНА РЕПУБЛИКА ЈУГОСЛАВИЈА САВЕЗНО МИНИСТАРСТВО ПРИВРЕДЕ И УНУТРАШЊЕ ТРГОВИНЕ САВЕЗНИ ЗАВОД ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11000 Београд, Мике Аласа 14, пошт.фах 384, тел. (011) 32-82-736, телефакс: (011)

Више

Универзитет у Бањој Луци Електротехнички факултет Катедра за Општу електротехнику предмет: Теорија електричних кола 1 ЛАБ 01: Симулација електричних к

Универзитет у Бањој Луци Електротехнички факултет Катедра за Општу електротехнику предмет: Теорија електричних кола 1 ЛАБ 01: Симулација електричних к Универзитет у Бањој Луци Електротехнички факултет Катедра за Општу електротехнику предмет: Теорија електричних кола 1 ЛАБ 1: Симулација електричних кола у временском домену Увод За симулацију електричних

Више

poster2

poster2 Kontrola pristupa na Android platformi (AM335) Božović Aleksandra & Milošević Branislav Elektrotehnički fakultet 2017 Cilj projekta je prikazivanje koncepta sistema kontrole pristupa na platformi AM335x

Више

Pumping Smart Card

Pumping Smart Card Uputstvo za montažu Pumping Smart Card VLT Soft Starter MCD 600 Sadržaj Sadržaj 1 Bezbednost 5 1.1 Odricanje odgovornosti 5 1.2 Upozorenja 5 2 Pregled 6 2.1 Pumping Smart Card funkcije 6 2.1.1 Nadzor

Више

Kolokvijum_MPK_2008.doc

Kolokvijum_MPK_2008.doc Колоквијум из Микроталасних пасивних кола..8.. Један реални SMD кондензатор (у колу израђеном у микротракастој техници на супстрату параметара ε r =,6, tgδ =,, H =,5mm, T = 8µ m и σ = 5MS/m ), уземљен

Више

Z-16-32

Z-16-32 САВЕЗНА РЕПУБЛИКА ЈУГОСЛАВИЈА САВЕЗНО МИНИСТАРСТВО ПР ИВРЕДЕ И УНУТРАШЊЕ ТРГОВИНЕ САВЕЗНИ ЗАВОД ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11 000 Београд, Мике Аласа 14, поштански фах 384 телефон: (011) 3282-736, телефакс:

Више

Microsoft PowerPoint - GR_MbIS_12_IDEF

Microsoft PowerPoint - GR_MbIS_12_IDEF Menadžment poslovnih informacionih sistema - 12 metode modeliranja funkcija pripremila Doc. dr Gordana Radić Integfated DEFinition Definicija: je metoda (jezik) modeliranja bazirana je na kombinaciji grafike

Више

KORISNIČKO UPUTSTVO

KORISNIČKO UPUTSTVO KORISNIČKO UPUTSTVO Srpski 1. Isključite glavno napajanje Pre postavljanja proizvoda isključite glavno napajanje. 2. Spajanje + i žica Spajanje + i žice na odgovarajuće izlaze ACM-LV24. U većini slučajeva

Више

MIP-heuristike (Matheuristike) Hibridi izmedu metaheurističkih i egzaktnih metoda Tatjana Davidović Matematički institut SANU

MIP-heuristike (Matheuristike) Hibridi izmedu metaheurističkih i egzaktnih metoda Tatjana Davidović Matematički institut SANU MIP-heuristike (Matheuristike) Hibridi izmedu metaheurističkih i egzaktnih metoda Tatjana Davidović Matematički institut SANU http://www.mi.sanu.ac.rs/ tanjad (tanjad@mi.sanu.ac.rs) 21. januar 2013. Tatjana

Више

Elektrotehnički fakultet Univerziteta u Beogradu Relejna zaštita laboratorijske vežbe Vežba 4: ISPITIVANJE STATIČKE GENERATORSKE ZAŠTITE Cilj vežbe je

Elektrotehnički fakultet Univerziteta u Beogradu Relejna zaštita laboratorijske vežbe Vežba 4: ISPITIVANJE STATIČKE GENERATORSKE ZAŠTITE Cilj vežbe je Vežba 4: ISPITIVANJE STATIČKE GENERATORSKE ZAŠTITE Cilj vežbe je ispitivanje sledećih zaštitnih releja: (1) zemljospojnog za zaštitu statora generatora (RUWA 117 E), (2) podnaponskog releja (RUVA 116 E),

Више

M-3-699

M-3-699 РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ЕКОНОМИЈЕ И РЕГИОНАЛНОГ РАЗВОЈА ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ 11 000 Београд, Мике Аласа 14, поштански фах 384 телефон: (011) 328-2736, телефакс: (011) 2181-668 На

Више

MILANO ZONA12 26 CIJENA: NA UPIT MOTOR: 250w rear BATTERY: 36v 5,2 ah integrated SPEED: single speed TIRE: CTS 26 x1,5 BRAKE: caliper MONITOR: LCD dis

MILANO ZONA12 26 CIJENA: NA UPIT MOTOR: 250w rear BATTERY: 36v 5,2 ah integrated SPEED: single speed TIRE: CTS 26 x1,5 BRAKE: caliper MONITOR: LCD dis MILANO ZONA12 26 CIJENA: NA UPIT MOTOR: 250w rear BATTERY: 36v 5,2 ah integrated SPEED: single speed TIRE: CTS 26 x1,5 BRAKE: caliper MONITOR: LCD display RANGE: 40 60 km LIGHT: RIDE EXPERIENCE: torque

Више

Priručnik za Computer Setup (F10)

Priručnik za Computer Setup (F10) Priručnik za Computer Setup (F10) HP-ova poslovna računala model dx5150 Broj dokumenta: 374172-BC2 Rujan 2005. Ovaj priručnik pruža informacije o korištenju pomoćnog programa Computer Setup. Ovaj se alat

Више

Naslov seminarskog rada

Naslov seminarskog rada Luka Juričev-Sudac 0036424100 SEMINARSKI RAD - SPVP Inteligentna kuća Daljinsko upravljanje Potrebna predznanja: -osnove elektrotehnike i elektronike -programiranje u C-u -izrada tiskane pločice, lemljenje

Више

Mikroelektronske tehnologije

Mikroelektronske tehnologije 2019 Predavanje 12 II semestar (2+2+0) Prof. dr ragan Pantić, kabinet 337 dragan.pantic@elfak.ni.ac.rs http://mikro.elfak.ni.ac.rs 6/5/2019 Elektronske komponente - Pasivne komponente 2 MOS tranzistori

Више