ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU

Величина: px
Почињати приказ од странице:

Download "ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU"

Транскрипт

1 ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU HARDVERSKA IMPLEMENTACIJA JH ALGORITMA ZA HEŠIRANJE Master rad Kandidat: Milica Mijatović 2013/3306 Mentor: doc. dr Zoran Čiča Beograd, Maj 2015.

2 SADRŢAJ 1. UVOD KRIPTOGRAFSKI HEŠ ALGORITMI DEFINICIJA I OSOBINE HEŠ ALGORITAMA NAPADI NA KRIPTOGRAFSKE HEŠ FUNKCIJE PRIMENA KRIPTOGRAFSKIH HEŠ FUNKCIJA JH HEŠ ALGORITAM PADDING PORUKE PODELA PORUKE NA BLOKOVE RAČUNANJE INICIJALNE HEŠ VREDNOSTI H (0) RAČUNANJE FINALNE HEŠ VREDNOSTI H (N) GENERISANJE SAŢETKA PORUKE IMPLEMENTACIJA JH ALGORITMA INTERFEJSI UNUTRAŠNJOST CRNE KUTIJE Tipovi promenljivih Konačni automat OPIS ALGORITMA Opis procedura Opis rada top-level entiteta RAZLIKE U KODU ZA OSTALE DUŢINE HEŠ VREDNOSTI OPIS PERFORMANSI I VERIFIKACIJA DIZAJNA OPIS PERFORMANSI VERIFIKACIJA DIZAJNA Verifikacija dizajna poruke sa jednim blokom Verifikacija dizajna poruke od dva bloka Verifikacija dizajna poruke od tri bloka Verifikacija dizajna dve pristigle poruke ZAKLJUČAK LITERATURA... 34

3 1. UVOD Sigurnost telekomunikacionih mreţa je veoma vaţna zbog činjenice da se preko njih razmenjuju ogromne količine informacija i podataka. Informacije se prenose nesigurnim komunikacionim putevima koji se ne mogu fizički zaštititi, te je stoga vrlo vaţna primena zaštitnih komunikacionih mehanizama. Jedan od takvih mehanizama je kriptografija. Kriptografija je nauka koja se bavi metodama očuvanja tajnosti informacija. Šifra i digitalni potpis su kriptografske tehnike koje se koriste da bi se implementirali bezbedonosni servisi. Šifrovanje je procedura koja transformiše originalnu informaciju u šifrovane podatke, dok je dešifrovanje obrnut proces, kada se šifrovani podaci transformišu u originalnu poruku. Oba procesa podrazumevaju korišćenje tzv. ključa šifrovanja. Kriptografija moţe biti simetrična i asimetrična, meďutim, nijedan od pomenutih algoritama ne štiti integritet poruke koja je šifrovana. Ovo je vrlo vaţno iz razloga da je ključ provaljen i da napadač šalje laţne poruke, ali i mogućnosti da je došlo do greške prilikom šifrovanja, tako da primljena poruka nije identična originalnom dokumentu. Iz tog razloga kreirane su funkcije za saţimanje, odnosno heš algoritmi. Najpoznatiji i najkorišćeniji heš algoritmi su: SHA, MD5, MDC-2, RIPEMD-160 itd. Heš algoritmi se svrstavaju u kriptografske algoritme bez ključa [1]. Kriptografski JH heš algoritam je bio jedan od pet finalista poslednje runde na takmičenju za novi SHA-3 standard (novembar, 2012). Zvanično postoje četiri verzije JH algoritma čija se razlika ogleda u duţini izlazne heš poruke. To su: JH-224, JH-256, JH-384 i JH-512, gde svaki broj reprezentuje duţinu heš izlaza. U ovom radu biće prikazane specifikacije i performanse JH algoritma za heširanje. Za realizaciju implementacije koristi se VHDL programski jezik, a razvoj i verifikacija dizajna vrši se u ISE okruţenju za FPGA čipove kompanije Xilinx. Svi projekti će biti priloţeni u elektronskoj formi na priloţenom CD-u. Ostatak rada je organizovan na sledeći način: Drugo poglavlje daje osnovne informacije o heš algoritmima, njihovoj kriptografskoj primeni i napadima. Treće poglavlje detaljno opisuje osnove JH algoritma. Četvrto poglavlje opisuje hardversku implementaciju JH algoritma. Prvo su opisani interfejsi crne kutije, zatim tipovi promenljivih i stanja u kojima se moţe naći dizajn. Nakon toga sledi detaljan opis korišćenih procedura i opis rada top-level entiteta koji obavlja JH heširanje. Peto poglavlje se bavi analizom performansi realizovanog JH algoritma u zavisnosti od izabrane verzije algoritma. Drugi deo petog poglavlja se bavi verifikacijom dizajna. Poslednje, šesto poglavlje rezmira utiske autora ovog rada o realizovanom algoritmu, kao i predloge za njegovu optimizaciju. 3

4 2. KRIPTOGRAFSKI HEŠ ALGORITMI U ovom poglavlju biće opisane osnovne osobine heš algoritama, kao i problemi sa kojima se ovi algoritmi susreću Definicija i osobine heš algoritama Jednosmerna heš funkcija (engl. one-way hash function), često zvana i saţimanje poruke (engl. message digest), ključna je u savremenoj kriptografiji. Jednosmerne heš funkcije su osnova za definisanje mnogih sigurnosnih protokola. One se već dugo koriste u računarskoj nauci. Heš funkcija je matematička ili neka druga funkcija koja uzima ulazni znakovni niz promenljive duţine, pod nazivom original (engl. pre-image), i konvertuje ga u (obično kraći) izlazni znakovni niz fiksne duţine, pod nazivom heš vrednost (engl. hash value). Jednosmerna heš funkcija radi u jednom smeru: izračunavanje heš vrednosti na osnovu ulazne vrednosti je jednostavno, ali je teško da se odredi ulazna vrednost koja daje odreďenu heš vrednost. Dobra heš funkcija takoďe je bez kolizije (engl. collision-free), odnosno teško je da se generišu dve ulazne vrednosti koje generišu istu heš vrednost. Heš funkcija je javna, odnosno nema tajnosti postupka. Sigurnost heš funkcije leţi u njenoj jednosmernosti. Nije vidljiv način na koji izlazna vrednost zavisi od ulazne. Promena jednog bita u originalnoj vrednosti dovodi do promene prosečno jedne polovine bitova heš vrednosti. Ako je zadata heš vrednost, neizvodljivo je izračunavanje ulazne vrednosti heš funkcije [2]. Heš funkcija h uzima ulaz proizvoljne duţine i računa izlaz fiksne duţine m, koji se zove heš vrednost, kao što je prikazano u jednačini 2.1: h: {0, 1} * {0, 1} m (2.1) Kao što vidimo, ulaz je binarni string proizvoljne duţine, dok je izlaz takoďe binarni string, ali fiksne duţine m. Kako se od heš funkcije očekuje da smanji ulaz, podrazumeva se da je veličina ulaza veća ili jednaka m. Princip rada heš funkcija prikazan je na slici 2.1.1: Slika Princip rada heš funkcija 4

5 Pored toga što su determinističke i efikasno se računaju, od kriptografskih heš funkcija se uglavnom očekuje da se ponašaju kao slučajna funkcija - da daju random looking izlaz, odnosno nešto što izgleda kao slučajan niz simbola. U praksi, to znači da je nemoguće predvideti bilo koji bit izlaza za dati ulaz. Time se postiţe da i slični stringovi oni koji se malo razlikuju, imaju potpuno različite heš vrednosti. Ovo se još zove efekat lavine (engl. avalanche effect) koji znači da male promene ulaza izazivaju značajne, nepredvidive promene na izlazu Napadi na kriptografske heš funkcije U kriptografiji, napadač je često predstavljen kao neprijateljski algoritam. Kako je cilj kriptografije da obezbedi sigurnost komunikacije u realnim uslovima, polazna pretpostavka svake kriptografske aplikacije je postojanje napadača koji pokušava da doďe do poverljivih informacija. Kako su heš funkcije veoma vaţan segment sigurne komunikacije, izvesno je da će se odreďeni napadi usmeriti upravo na njih. Shodno opisanim osobinama heš funkcija, razlikujemo tri moguća napada na njih: Ulazni napad. Kod ulaznog napada, za datu izlaznu vrednost d heš funkcije H, napadaču je cilj da naďe ulaznu poruku m koja odgovara izlaznoj heš vrednosti d, tj. H(m) = d. Drugi ulazni napad. Kod drugog ulaznog napada, za datu poruku m i heš funkciju H, napadač pokušava da naďe ulaznu poruku m 1, m 1 m, tako da je H(m) = H(m 1 ). Napad kolizije. Kod napada kolizije, za datu heš funkciju H, napadač pokušava da naďe dve poruke m i m 1 takve da m m 1 i H(m) = H(m 1 ). Osnovni princip nalaţe da je napadaču poznat kompletan dizajn heš funkcije. Time podrazumevamo da će napadač iskoristiti sve uočljive matematičke slabosti u dizajnu. MeĎutim, napad se često izvodi koristeći i neke druge, manje istaknute slabosti heš funkcije. Na primer, uspešan napad se moţe izvesti ukoliko se uoči da heš funkcija ima slab efekat lavine, odnosno da male promene ulaza izazivaju male promene na izlazu Primena kriptografskih heš funkcija Heš funkcije su pronašle primenu u mnogim oblastima. Koriste se za kreiranje heš tabela, koje omogućuju brzo pretraţivanje podataka bez obzira na veličinu tabele. TakoĎe se koriste i za pronalaţenje dupliranih zapisa: svaki podatak se propusti kroz heš funkciju i pronaďu se podaci koji imaju istu vrednost heša. Imaju široku upotrebu u kriptografiji. TakoĎe se mogu koristiti i za detekciju grešaka. Najznačajnija primena jeste tzv. digitalni potpis. Digitalni potpis elektronskih podataka moţe da se posmatra u analogiji sa potpisom ili pečatom štampanih dokumenata. On omogućava da primalac poruke bude siguran da nije došlo do izmene originalnog sadrţaja poruke, kao i da bude siguran u identitet pošiljaoca poruke. Drugim rečima, garantuje integritet poruke i autentifikaciju pošiljaoca iste. Pored toga, digitalni potpis ne moţe da se porekne, tako da onaj ko je poslao poruku kasnije ne moţe da tvrdi da je nije poslao ili da je neko drugi poslao umesto njega. Digitalni potpis poruke se formira korišćenjem tehnike asimetričnih ključeva. Pošiljalac kreira heš (šifrovani saţetak poruke) tako što na originalnu poruku primenjuje heš algoritam. Heš poruke predstavlja digitalni otisak prsta poruke. Ako bi došlo do najmanje promene u originalnoj poruci, promenio bi se i rezultujući heš poruke. Pošiljalac zatim vrši enkripciju heša svojim privatnim ključem. Ovako enkriptovan heš predstavlja digitalni potpis poruke. Pošiljalac dodaje na kraj originalne poruke i njen digitalni potpis i tako digitalno potpisanu poruku šalje. Na prijemu, primalac pomoću javnog ključa pošiljaoca vrši dekripciju digitalnog potpisa poruke kako bi dobio heš poslate poruke i poredi ga sa vrednošću heša koji on dobija primenjujući isti heš algoritam na samu primljenu poruku. Ako se dobijene heš vrednosti ne 5

6 razlikuju, primalac moţe da bude siguran da poruka nije izmenjena. Ako se vrednosti razlikuju, znači da je došlo do neovlašćenog menjanja sadrţaja poruke i/ili da je neko drugi poslao poruku od onog za koga se izdaje [3]. 6

7 3. JH HEŠ ALGORITAM Kriptografski JH heš algoritam je jedan od pet finalista poslednje runde na takmičenju za novi SHA-3 standard (oktobar, 2008). Autor ovog algoritma je Hongjun Wu, univerzitetski profesor matematike i računarskih nauka. Zvanično postoje četiri verzije JH algoritma čija se razlika ogleda u duţini izlazne heš poruke. To su: JH-224, JH-256, JH-384 i JH-512, gde svaki broj reprezentuje duţinu heš izlaza. U nastavku ovog poglavlja biće opisani koraci algoritma. JH heš funkcija je iterativna heš funkcija koja vrši obrade ulaznih blokova poruke duţine 512 bita i na izlazu generiše veličinu koja moţe imati duţinu u bitima: 224, 256, 384 i 512, u zavisnosti koja je verzija algoritma korišćena. Postoje dve tehnike koje se koriste za dizajn JH heš algoritma. Prva je kreiranje funkcije kompresije. Druga tehnika se bazira na AES metodologiji u smislu podele ulazne poruke na odreďeni broj komponenti (blokova), kako bi se na jednostavniji način izračunala heš vrednost, te na taj način poboljšale hardverske i softverske performanse. Uopšteni blok dijagram koji predstavlja hardversku implementaciju verzije JH-256 algoritma je prikazan na slici 3.1: Slika 3.1. Hardverska arhitektura funkcije kompresije JH-256 verije algoritma Pre objašnjenja gorepomenutih koraka sledi objašnjenje parametara: d H (i) l dimenzija bloka poruke u bitima. d-dimenzionalni blok sadrţi 2 d 4-bitnih elemenata. i-ta heš vrednost, veličine h bita. H (0) je inicijalna heš vrednost; H (N) je finalna heš vrednost i ona se koristi za odsecanje poslednjih bita (svaka verzija odseca različiti broj bita) da bi se dobio rezultujući heš poruke. duţina poruke, M, u bitima. H (i),j j-ti bit i-te heš vrednosti, H (i). h broj bita heš vrednosti H (i). m M broj bita u jednom bloku poruke M (i), m=512. poruka koja treba da se hešira. 7

8 M (i) N i-ti blok poruke, veličine m bita. broj blokova u poruci nakon padding procedure. JH funkcija kompresije predstavlja bijektivnu funkciju. Predstavljena je na slici 3.2: Slika 3.2. Struktura JH funkcije kompresije 2m-bitna heš vrednost H (i-1) i m-bitni ulazni blok M (i) su kompresovani tako da daju izlaz H (i). Kao što se vidi na slici 3.2, vrši se operacija XOR ulaznog bloka poruke sa levom polovinom heš vrednosti. Zatim se vrše odreďene matematičke operacije u bloku označene pod E. Na kraju se vrši XOR operacija ulaznog bloka poruke sa desnom polovinom heš vrednosti. Heš vrednost se računa na osnovu jednačine 3.1: H (i) = f (H (i-1), M (i) ) (3.1) gde je H (i-1) heš vrednost prethodnog bloka, a M (i) trenutni ulazni blok. Funkcija kompresije f(h (i-1), M (i) ) se moţe izračunati na osnovu jednačine 3.2: f (H (i-1), M (i) ) = E (H (i-1) M (i) ) M (i) (3.2) gde simbol označava operaciju konkatanacije, odnosno nadovezivanje bita trenutnog ulaznog bloka poruke M (i) sa bitima 0, dok simbol predstavlja XOR operaciju predstavlja string od bita. Funkcija E vrši nekoliko operacija: grupisanje bita, nakon čega sledi 6*(d-1) rundi tzv. round funkcije (koja obuhvata sledeće tri faze: odabir S-kutije (engl. s-box), linearna transformacija i permutacija), kao i degrupisanje bita. Grupisanje bita predstavlja operaciju koja po odreďenom matematičkom algoritmu udruţuje (grupiše) bite heš vrednosti H (i-1), u cilju dobijanja četvorobitnih reči koje se nadalje koriste u algoritmu. Degrupisanje je obrnut proces grupisanja, odnosno, biti iz četvorobitnih reči se raščlanjuju (degrupišu) u smislu ponovnog dobijanja osmobitnih reči, odnosno bajtova, koji sačinjavaju heš vrednost koja se nadalje koristi u algoritmu. 8

9 Nakon grupisanja bita u četvorobitne reči, vrši se odabir S-kutije. S-kutije koje se koriste u JH algoritmu su S 0 i S 1 i prikazane su u tabeli 3.1. One predstavljaju 4x4-bitne S-kutije: x S 0 (x) S 1 (x) Tabela 3.1. S-box tabela Odabir S-kutije predstavlja deo algoritma koji se vrši na osnovu vrednosti tzv. konstante runde. Konstanta runde predstavlja vektor od 256 bita, gde svaki bit predstavlja izbor S-kutije (S 0 ili S 1 ) za jednu četvorobitnu reč. Konstanta runde se u svakoj rundi aţurira i odreďuje po definisanom matematičkom algoritmu, te se stoga njena vrednost ispituje u svakoj rundi. Svaka četvorobitna reč se propušta kroz odgovarajuću (odabranu) S-kutiju - S 0 ili S 1. Nakon odabira S- kutije sledi operacija linearne transformacije. Linearna transformacija primenjuje tzv. MDS (Maximum Distance Separable) kod nad gorepomenutim četvorobitnim rečima i definisan je u okviru Galoisovog polja, GF (2 4 ). MDS (n, d, k) kod se definiše kao minimalno rastojanje izmeďu dve reči koje je maksimalno moguće. Rastojanje se računa po jednačini 3.3: k=n-d+1 (3.3) gde k predstavlja rastojanje izmeďu reči, n duţinu reči u bitima, a d dimenziju reči (već pomenutu na početku ovog poglavlja). JH algoritam primenjuje (4, 2, 3) MDS kod, po algoritmu prikazanom u jednačini 3.4: (C,D) = L(A,B) = (5 A+2 B, 2 A+B) (3.4) gde A, B, C i D predstavljaju četvorobitne reči, a simbol predstavlja operaciju mnoţenja. Operacija mnoţenja u Galoisovom polju, GF (2 4 ), podrazumeva algoritam kao u tabeli 3.2: 0 1 A B A B A 0 A B 1 B 0 B 1 A Tabela 3.2. Operacija mnoţenja u Galoisovom polju 9

10 Nakon linearne transformacije sledi operacija permutacije nad četvorobitnim rečima. Permutacija podrazumeva rotiranje reči tako da se one nalaze pored drugih blokova u svakoj narednoj rundi. Algoritam na kom se zasniva permutacija predstavlja kompoziciju tri funkcije permutacije (, P i α), kao na slikama 3.3, 3.4, 3.5 i 3.6 (za primer je uzeto d=4): Slika 3.3. Operacija permutacije funkcija permutacije Slika 3.4. Operacija permutacije funkcija permutacije P Slika 3.5. Operacija permutacije funkcija permutacije α Slika 3.6. Operacija permutacije kompozicija tri funkcije permutacije 10

11 gde a i b predstavljaju bite četvorobitnih reči. Dakle, princip round funkcije koja obuhvata gorepomenute operacije je prikazan na slici 3.7 (za primer je uzeto d=4): Slika 3.7. Dve runde round funkcije za d=4 Ovakav način korišćenja funkcije kompresije je jednostavan i efikasan. Zahvaljujući permutaciji bita nisu potrebne dodatne promenljive koje bi se koristile, tako da je mnogo jednostavnija analiza sigurnosti funkcije kompresije za različite napade. AES dizajn se koristi u smislu podele ulazne poruke na odreďeni broj komponenti, odnosno blokova. Po uopštenom AES dizajnu, ulazni biti su podeljeni na i (α i 2) elemenata, pri čemu ovi elementi sačinjavaju d-dimenzionalni niz. Nakon r-te runde, gorepomenuti MDS kod se primenjuje na (r mod d) dimenziju niza. Postoji pet koraka koji sačinjavaju JH algoritam: 1. Padding ulazne poruke; 2. Podela padding -ovane poruke na blokove; 3. Računanje inicijalne heš vrednosti; 4. Računanje finalne heš vrednosti; 5. Generisanje heš vrednosti, odnosno saţimanje poruke. Napomena. U radu nadalje je korišćena vrednost za d=8, te odatle prefiks 8 u nazivima funkcija Padding poruke Nad porukom M se vrši padding, na takav način da poruka postane umnoţak od 512 bita. Pretpostavimo da je duţina poruke l bita. Bit 1 se dodaje na kraj poruke praćen sa (-l 11

12 mod 512) bita 0 (za l mod 512 = 0, 1, 2,, 510, 511, broj bita 0 koji se dodaju je 383, 894, 893,, 385, 384, respektivno). Zatim se na ovu duţinu dodaje 128 bita koji predstavljaju binarnu reprezentaciju l broja. Redosled bita unutar bajtova je predstavljen u big-endian formi, dok je redosled bajtova predstavljen u little-endian formi Podela poruke na blokove Nakon padding procedure, poruka se deli na N 512-bitnih blokova, M (1),M (2),..., M (N) bitni blok poruke se predstavlja kao četiri 128-bitne reči. Prvih 128 bita bloka i je označeno kao M 0 (i), sledećih128 bita je označeno kao M 1 (i), i tako dalje do M 3 (i). Redosled bita unutar bajtova je predstavljen u big-endian formi, dok je redosled bajtova predstavljen u little-endian formi Računanje inicijalne heš vrednosti H (0) Inicijalna heš vrednost zavisi od verzije algoritma koji se koristi (JH-224, JH-256, JH-384 ili JH-512). Prva dva bajta H (-1) imaju unapred definisanu vrednost (u zavisnosti od verzije), dok se ostali postavljaju na 0. TakoĎe se M (0) postavlja na 0. Inicijalna vrednost se računa po jednačini 3.5: H (0) = F 8 (H (-1), M (0) ) (3.5) Prva dva bajta (16 bita) imaju vrednost 0x00E0, 0x0100, 0x0180, 0x0200 za JH-224, JH- 256, JH-384 i JH-512, respektivno. Neka je H (-1),j = 0 za 16 j Postavimo M (0) na 0. Inicijalna 1024-bitna heš vrednost H (0) se računa po jednačini 3.6: H (0) = F 8 (H (-1), M (0) ) (3.6) gde F 8 predstavlja funkciju kompresije definisanu u jednačini 3.2, za d= Računanje finalne heš vrednosti H (N) Funkcija kompresije F 8 se primenjuje na svih N blokova poruke M. Finalna 1024-bitna heš vrednost H (N) se računa po jednačini 3.7: H (i) = F 8 (H (i-1), M (i) ), za 1 i N (3.7) 3.5. Generisanje saţetka poruke Saţetak poruke se dobija odsecanjem bita finalne heš vrednosti, u zavisnosti od verzije JH heš algoritma koji je primenjen [4]: JH-224: Prvih 224 bita H (N) vrednosti se odsecaju, tj. H (N),800 H (N), H (N),1023. JH-256: Prvih 256 bita H (N) vrednosti se odsecaju, tj. H (N),768 H (N), H (N),

13 JH-384: Prvih 384 bita H (N) vrednosti se odsecaju, tj. H (N),640 H (N), H (N),1023. JH-512: Prvih 512 bita H (N) vrednosti se odsecaju, tj. H (N),512 H (N), H (N),1023. gde simbol označava operaciju konkatanacije bita. 13

14 4. IMPLEMENTACIJA JH ALGORITMA U ovom poglavlju biće opisan programski kod napisan za potrebe implementacije algoritma. Kod je napisan u VHDL (VHSIC hardware description language) programskom jeziku. Detaljan opis sledi za verziju čija je heš vrednost duţine 224 bita (JH-224), a potom će biti navedene razlike u delovima koda za ostale verzije JH algoritma (256, 384 i 512). Informacija o razlikama u koracima za različite duţine heš izlaza dobijena je iz originalnog dokumenta [4]. Dizajn opisan u ovom poglavlju ne vrši padding proceduru, kao ni podelu poruke na blokove. Ove funkcije su izvršene prilikom verifikacije dizajna, na način koji će biti objašnjen u narednom pogavlju. Dizajn se najpre moţe predstaviti crnom kutijom sa interfejsima Interfejsi Dizajn sadrţi ulazne i izlazne interfejse. Ulazni interfejsi su interfejsi sa imenom clk, reset, first_block, last_block i message_block. Izlazni interfejsi su interfejsi sa imenom: recieve_ready, get_hash, init_done i hashvalue. Signal clk je korišćen kao signal takta, pri čemu je aktivna uzlazna ivica takta. Signal reset vrši reset dizajna u početno stanje. Signal first_block signalizira da je na ulazu prisutan prvi blok poruke čiji se heš računa. Signalom last_block signalizira se da je na ulazu prisutan poslednji blok poruke. Ovaj signal predstavlja i okidač za prelazak u stanje finalizacije (finalization), na takav način da aktivira signal flag. Ulazni signal message_block predstavlja jedan blok poruke koju je potrebno obraditi. Izlazni signal recieve_ready označava da se moţe postaviti novi blok poruke. Ovaj signal je neophodan jer obrada blokova traje nekoliko taktova. Izlazni signal get_hash označava da je dizajn postavio heš vrednost na odgovarajući izlazni port (hashvalue) i da korisnik moţe da preuzme generisanu heš vrednost. Izlazni signal init_done označava da je inicijalna heš vrednost izračunata i da se moţe početi sa obradom prvog bloka poruke. Izlazni signal hashvalue sadrţi rezultujući heš date poruke Unutrašnjost crne kutije U ovom potpoglavlju biće opisani tipovi promenljivih definisani za potrebe ovog rada i konačni automat korišćen u dizajnu. 14

15 Tipovi promenljivih Pored predefinisanih tipova podataka (std_logic, std_logic_vector i integer), korišćeni su i korisnički definisani tipovi podataka, koji su definisani u zasebnom VHDL paketu. Sledi lista korisnički definisanih tipova i kratko objašnjenje za kakve promenljive se koriste: Konačni automat message_type: Tip promenljive koji predstavlja veličinu poruke u bitima. Autor algoritma je definisao veličinu poruke od 512 bita. Ovaj tip je definisan kao niz od 64 bajta od po 8 bita. hash_type: Tip promenljive koji predstavlja veličinu heš funkcije. Autor algoritma je definisao veličinu poruke od 1024 bita. Ovaj tip je definisan kao niz od 128 bajtova od po 8 bita. round_type: Tip promenljive koji predstavlja veličinu promenljive nakon procedure grupisanja bita od po 4 bita, nad kojom se nadalje vrši tzv. round funkcija. Autor algoritma je definisao veličinu poruke od 1024 bita. Ovaj tip je definisan kao niz od 256 bajtova koje sačinjava po 4 bita. round_zero_type: Tip promenljive koji predstavlja veličinu roundkonstante. Autor algoritma je definisao veličinu poruke od 256 bita. Ovaj tip je definisan kao niz od 64 bajta od po 4 bita. s_box_type: Tip promenljive koji predstavlja s-box tabelu. S-box tabela predstavlja preslikavanje 16 različitih četvorobitnih reči, pa je ovaj tip definisan kao niz od 16 četvorobitnih reči. final_automat: Tip promenljive koji predstavlja stanje konačnog automata. Ovo je enumerisani tip koji sadrţi logičke nazive stanja automata. Ovaj tip će biti detaljnije opisan u sledećem odeljku. Na osnovu trenutne vrednosti promenljive koja predstavlja stanje konačnog automata, primenjuje se odgovarajući kod, tj. odgovarajuća faza u obradi poruke. Obzirom da postoje 42 runde izvršavanja, a kombinaciona logika procedura za odreďivanje inicijalne, kao i finalne heš vrednosti je velika (kompajleru je potrebno više vremena da analizira dizajn i da ga sintetiše što je moguće optimalnije), dizajn se moţe podeliti na dva dela: prvi, gde se generiše inicijalna heš vrednost, i drugi, gde se generiše finalna heš vrednost. Runde se izvršavaju u stanju koji sadrţi u sebi naziv round, što je detaljno objašnjeno u tekstu ispod. Stanja koja su definisana su: init, round_init, processing_init,idle, round, processing_round, processing, finalization. Navedena stanja su prikazana na slici : 15

16 Slika Stanje konačnog automata Stanje init je stanje u kome se nalazi dizajn pre prijema bilo kakve poruke. U ovom stanju se vrši inicijalizacija stanja. U okviru ovog stanja se izvršava grupisanje bita u četvorobitne reči,, a ujedno se i definiše konstanta runde, čiju je vrednost definisao autor algoritma. U stanju round_init se izvršavaju 42 runde round funkcije, koju čine operacije: odabir S- kutije, linearna transformacija i permutacija. TakoĎe i konstanta runde dobija novu vrednost koja se nadalje koristi u procesu round funkcije. Izvršen broj rundi se beleţi u signalu brojac koji je tipa integer. Ovo je takoďe stanje pre prijema bilo kakve poruke. Stanje processing_init predstavlja stanje koje vraća dizajn u stanje round_initdok se ne izvrši svih 42 rundi. Ovo je takoďe stanje pre prijema bilo kakve poruke. Ova tri stanja se koriste za inicijalizaciju interne strukture koja se koristi pri heširanju, čiji je rezultat H (0). U stanju idle se algoritam nalazi u trenutku kada čeka prijem poruke. Stanje round ima istu funkciju kao stanje round_init, samo što se ovo stanje sada vrši nad porukom, odnosno stvarnim podacima. Stanje processing_round ima istu funkciju kao stanje processing_init, samo što se ovo stanje sada vrši nad porukom, odnosno stvarnim podacima. Stanje finalization označava izvršavanje finalnog dela heširanja, tj. generisanje saţetka poruke (engl. message digest), odnosno odsecanje odreďenog broja bita (u zavisnosti od verzije) poslednje izračunatog heša, tj. H (N) (izračunate heš funkcije nad poslednjim blokom). 16

17 Poslednje tri navedena stanja se koriste za heširanje poruke Opis algoritma Najpre će biti objašnjene procedure koje predstavljaju korake algoritma, a potom će biti opisan i kod koji prati celokupan tok obrade poruke. Procedure, kao i pojedine konstante korišćene pri implementaciji ovog algoritma definisane su u paketu. Spisak svih procedura koje se koriste u dizajnu su: hash_initial, f8, e8_grouping, roundconstant_expanded_func, r8, s_box0_new, s_box1_new, update_roundconstant, e8_degrouping, f8_reverse, hash_calculate, hash_calculate_reverse, hash_final_func. Spisak promenljivih koje se koriste u kodu: H roundconstant Q hash_final Opis procedura reprezentuje heš promenljivu. reprezentuje konstantu runde. reprezentuje promenljivu nakon grupisanja bita iz H promenljive. reprezentuje finalnu heš vrednost. Procedura hash_initial dodeljuje promenljivoj H vrednost, tako da prva dva bajta imaju vrednost 0x00E0. Ova vrednost se dodeljuje iz razloga što se opisani algoritam odnosi na JH-224 verziju. Ostalim bajtovima se dodeljuje vrednost 0. TakoĎe, interna promenljiva bufer dobija vrednost 0. Nakon ovih inicijalizacija poziva se procedura f8. Kod kojim se gorepomenute operacije vrše je sledeći: hashbitlen_var:=hashbitlen; FOR i IN 63 DOWNTO 0 LOOP bufer(i)(7 DOWNTO 0):= X"00"; FOR i IN 127 DOWNTO 0 LOOP H(i)(7 DOWNTO 0):= X"00"; H(1) := hashbitlen_var(7 DOWNTO 0); H(0) := hashbitlen_var(15 DOWNTO 8); f8(bufer, H,Q); hashbitlen_var je interna promenljiva kojoj se dodeljuje vrednost konstante definisana unutar paketa (hashbitlen), i to je upravo vrednost 0x00E0. Svakom bajtu promenljive bufer se dodeljuje vrednost 0, kao i bajtovima promenljive H, izuzev prva dva. Procedura f8 vrši operaciju XOR interne promenljive bufer sa prvom (levom) polovinom heš promenljive H. Zatim se poziva procedura za grupisanje bita, e8_grouping: FOR i IN 63 DOWNTO 0 LOOP FOR j IN 7 DOWNTO 0 LOOP H(i)(j):=H(i)(j) XOR bufer(i)(j); e8_grouping(h,q); Grupisanje bita promenljive H u promenljivu Q koju sačinjavaju četvorobitne reči je predstavljeno na sledeći način kao u jednačini 4.1: for i=0 to 2 d

18 { q 0,2i = H i (4.1) q 0,2i+1 = } gde simbol označava operaciju konkatanacije bita. Odnosno, kod koji prikazuje grupisanje: FOR i IN 0 TO 15 LOOP FOR j IN 0 TO 7 LOOP q0 := A(i)(7-j); q1 := A(i+32)(7-j); q2 := A(i+64)(7-j); q3:= A(i+96)(7-j); q0_new := A(i+16)(7-j); q1_new := A(i+32+16)(7-j); q2_new := A(i+64+16)(7-j); q3_new:= A(i+96+16)(7-j); Q(2*i*8+2*j)(3 DOWNTO 0) := q0 & q1 & q2 & q3; Q(2*i*8+2*j+1)(3 DOWNTO 0) := q0_new & q1_new & q2_new & q3_new; Promenljiva A je interna promenljiva unutar procedure e8_grouping koja dobija vrednost promenljive H. q 0, q 1, q 2, q 3, q 0_new, q 1_new, q 2_new i q 3_new predstavljaju 1-bitne promenljive tipa STD_LOGIC, pri čemu se prvoj dodeljuje prvih 255 bita promenljive H, zatim drugoj biti promenljive H koje su na pozicijama od 256-og do 511-og bita, zatim biti promenljive H koje su na pozicijama od 512-og do 767-og bita, i biti promenljive H koje su na pozicijama od 768-og do 1023-eg bita. Ove promenljive reprezentuju prvi deo jednačine 4.1. Poslednje četiri promenljive, q 0_new, q 1_new, q 2_new i q 3_new, reprezentuju drugi deo jednačine 4.1. Njima se dodeljuju biti po istom algoritmu kao za q 0, q 1, q 2, q 3, samo što im se dodeljuju biti koji se nalaze na pozicijama pomerenim za 128 bita, respektivno. Operacijom konkatanacije u kodu & ovi biti se povezuju i čine strukturu promenljive Q. Degrupisanje bita se vrši na sličan, odnosno obrnut način, kao u jednačini 4.2: for i=0 to 2 d-1-1 { i = q 6(d-1),2i (4.2) } = q 6(d-1),2i+1 gde simbol označava operaciju konkatanacije bita. Odnosno kod koji prikazuje gorepomenutu operaciju: FOR i IN 0 TO 15 LOOP FOR j IN 0 TO 7 LOOP A(i)(7-j):=Q(2*i*8+2*j)(3); A(i+32)(7-j):=Q(2*i*8+2*j)(2); 18

19 A(i+64)(7-j):=Q(2*i*8+2*j)(1); A(i+96)(7-j):=Q(2*i*8+2*j)(0); A(i+16)(7-j):=Q(2*i*8+2*j+1)(3); A(i+32+16)(7-j):=Q(2*i*8+2*j+1)(2); A(i+64+16)(7-j):=Q(2*i*8+2*j+1)(1); A(i+96+16)(7-j):=Q(2*i*8+2*j+1)(0); Dodela vrednosti konstante, unapred definisane od strane autora algoritma, promenljivoj roundconstant, vrši se unutar procedure roundconstant_expanded_func: roundconstant_zero_var:=roundconstant_zero; FOR i IN 0 TO 63 LOOP roundconstant(i)(3 DOWNTO 0):=roundconstant_zero_var(255 DOWNTO 252); roundconstant_zero_var:=shl(roundconstant_zero_var,"0100"); Interna promenljiva kojoj se dodeljuje vrednost konstante, roundconstant_zero_var, definisana je unutar paketa (roundconstant_zero), i to je vrednost: 0x6a09e667f3bcc908b2fb1366ea957d3e3adec da2f590b a SHL operacija pomera bite za četiri mesta ulevo, odnosno, dodeljuje se vrednost narednoj četvorobitnoj reči. Nakon grupisanja i dodele vrednosti promenljivoj roundconstant, vrše se 42 runde round funkcije, koja obuhvata tri operacije: izbor i izvršavanje S-kutije, linearna transformacija i permutacija. Odabir S-kutije se vrši na osnovu vrednosti bita promenljive roundconstant. Dakle, potrebno je predstaviti promenljivu roundconstant kao bitnih reči (roundconstant_expanded), a zatim izršiti odabir s-kutije, odnosno: FOR i IN 0 TO 63 LOOP FOR k IN 0 TO 3 LOOP roundconstant_expanded(4*i+k):=roundconstant(i)(3-k); IF (roundconstant_expanded(4*i+k)='0') THEN s_box0_new(q(4*i+k)(3 DOWNTO 0)); ELSE s_box1_new(q(4*i+k)(3 DOWNTO 0)); END IF; s_box0_new uzima vrednosti iz prvog reda tabele, a s_box1_new iz drugog reda iste tabele, odnosno, index:=conv_integer(element); element:=s_box0(index); Linearna transformacija je definisana kao u jednačini 4.3: D 0 = B 0 A 1 ; D 1 = B 1 A 2 ; D 2 = B 2 A 3 A 0 ; D 3 = B 3 A 0 ; (4.3) C 0 = A 0 D 1 ; C 1 = A 1 D 2 ; C 2 = A 2 D 3 D 0 ; C 3 = A 3 D 0 ; 19

20 gde su A i B reprezentacije promenljive Q, a C i D reprezentacije interne w promenljive, koja se kasnije putem operacije permutacije dodeljuje ponovo promenljivoj Q, odnosno kod koji opisuje linearnu transformaciju: FOR i IN 0 TO 127 LOOP w(2*i+1)(0):=q(2*i+1)(0) XOR Q(2*i)(3); w(2*i+1)(1):=q(2*i+1)(1) XOR Q(2*i)(0) XOR Q(2*i)(3); w(2*i+1)(2):=q(2*i+1)(2) XOR Q(2*i)(1); w(2*i+1)(3):=q(2*i+1)(3) XOR Q(2*i)(2); w(2*i)(0):=q(2*i)(0) XOR w(2*i+1)(3); w(2*i)(1):=q(2*i)(1) XOR w(2*i+1)(0) XOR w(2*i+1)(3); w(2*i)(2):=q(2*i)(2) XOR w(2*i+1)(1); w(2*i)(3):=q(2*i)(3) XOR w(2*i+1)(2); Operacija permutacije je prikazana na slici 4.3.1: Slika Operacija permutacije za d=4 Kod koji opisuje operaciju permutacije je: FOR i IN 0 TO 63 LOOP Q(2*i):=w(4*i); Q(2*i+1):=w(4*i+3); Q(2*i+128):=w(4*i+2); Q(2*i+129):=w(4*i+1); gde Q reprezentuje vrednost b sa slike 4.3.1, a w vrednost a koja je prikazana na slici runde obuhvataju funkciju round, ali ujedno i aţuriranje round konstante. To se vrši pomoću funkcije update_roundconstant. Ona obuhvata operacije: propuštanje kroz S-kutiju, linearna transformacija i permutacija: FOR i IN 0 TO 63 LOOP s_box0_new(roundconstant(i)); FOR i IN 0 TO 31 LOOP w(2*i+1)(0):=roundconstant(2*i+1)(0) XOR roundconstant(2*i)(3); w(2*i+1)(1):=roundconstant(2*i+1)(1) XOR roundconstant(2*i)(0) XOR roundconstant(2*i)(3); w(2*i+1)(2):=roundconstant(2*i+1)(2) XOR roundconstant(2*i)(1); w(2*i+1)(3):=roundconstant(2*i+1)(3) XOR roundconstant(2*i)(2); w(2*i)(0):=roundconstant(2*i)(0) XOR w(2*i+1)(3); w(2*i)(1):=roundconstant(2*i)(1) XOR w(2*i+1)(0) XOR w(2*i+1)(3); w(2*i)(2):=roundconstant(2*i)(2) XOR w(2*i+1)(1); 20

21 w(2*i)(3):=roundconstant(2*i)(3) XOR w(2*i+1)(2); FOR i IN 0 TO 15 LOOP roundconstant(2*i):=w(4*i); roundconstant(2*i+1):=w(4*i+3); roundconstant(2*i+32):=w(4*i+2); roundconstant(2*i+33):=w(4*i+1); XOR-ovanje druge polovine heš promenljive sa porukom vrši se u procedurama f8_reverse i hash_calculate_reverse: FOR i IN 63 DOWNTO 0 LOOP FOR j IN 7 DOWNTO 0 LOOP H(i+64)(j):=A(i+64)(j) XOR bufer(i)(j); Dodela bita iz bloka poruke internoj promenljivoj bufer unutar procedura hash_calculate i hash_calculate_reverse vrši se na sledeći način: bufer(0)(7 DOWNTO 0):=message_block(7 DOWNTO 0); bufer(1)(7 DOWNTO 0):=message_block(15 DOWNTO 8); bufer(2)(7 DOWNTO 0):=message_block(23 DOWNTO 16); bufer(3)(7 DOWNTO 0):=message_block(31 DOWNTO 24); bufer(4)(7 DOWNTO 0):=message_block(39 DOWNTO 32); bufer(5)(7 DOWNTO 0):=message_block(47 DOWNTO 40); bufer(6)(7 DOWNTO 0):=message_block(55 DOWNTO 48); bufer(7)(7 DOWNTO 0):=message_block(63 DOWNTO 56); bufer(8)(7 DOWNTO 0):=message_block(71 DOWNTO 64); bufer(9)(7 DOWNTO 0):=message_block(79 DOWNTO 72); bufer(10)(7 DOWNTO 0):=message_block(87 DOWNTO 80); bufer(11)(7 DOWNTO 0):=message_block(95 DOWNTO 88); bufer(12)(7 DOWNTO 0):=message_block(103 DOWNTO 96); bufer(13)(7 DOWNTO 0):=message_block(111 DOWNTO 104); bufer(14)(7 DOWNTO 0):=message_block(119 DOWNTO 112); bufer(15)(7 DOWNTO 0):=message_block(127 DOWNTO 120); bufer(16)(7 DOWNTO 0):=message_block(135 DOWNTO 128); bufer(17)(7 DOWNTO 0):=message_block(143 DOWNTO 136); bufer(18)(7 DOWNTO 0):=message_block(151 DOWNTO 144); bufer(19)(7 DOWNTO 0):=message_block(159 DOWNTO 152); bufer(20)(7 DOWNTO 0):=message_block(167 DOWNTO 160); bufer(21)(7 DOWNTO 0):=message_block(175 DOWNTO 168); bufer(22)(7 DOWNTO 0):=message_block(183 DOWNTO 176); bufer(23)(7 DOWNTO 0):=message_block(191 DOWNTO 184); bufer(24)(7 DOWNTO 0):=message_block(199 DOWNTO 192); bufer(25)(7 DOWNTO 0):=message_block(207 DOWNTO 200); bufer(26)(7 DOWNTO 0):=message_block(215 DOWNTO 208); bufer(27)(7 DOWNTO 0):=message_block(223 DOWNTO 216); bufer(28)(7 DOWNTO 0):=message_block(231 DOWNTO 224); bufer(29)(7 DOWNTO 0):=message_block(239 DOWNTO 232); bufer(30)(7 DOWNTO 0):=message_block(247 DOWNTO 240); bufer(31)(7 DOWNTO 0):=message_block(255 DOWNTO 248); bufer(32)(7 DOWNTO 0):=message_block(263 DOWNTO 256); bufer(33)(7 DOWNTO 0):=message_block(271 DOWNTO 264); bufer(34)(7 DOWNTO 0):=message_block(279 DOWNTO 272); bufer(35)(7 DOWNTO 0):=message_block(287 DOWNTO 280); bufer(36)(7 DOWNTO 0):=message_block(295 DOWNTO 288); bufer(37)(7 DOWNTO 0):=message_block(303 DOWNTO 296); bufer(38)(7 DOWNTO 0):=message_block(311 DOWNTO 304); bufer(39)(7 DOWNTO 0):=message_block(319 DOWNTO 312); bufer(40)(7 DOWNTO 0):=message_block(327 DOWNTO 320); 21

22 bufer(41)(7 DOWNTO 0):=message_block(335 DOWNTO 328); bufer(42)(7 DOWNTO 0):=message_block(343 DOWNTO 336); bufer(43)(7 DOWNTO 0):=message_block(351 DOWNTO 344); bufer(44)(7 DOWNTO 0):=message_block(359 DOWNTO 352); bufer(45)(7 DOWNTO 0):=message_block(367 DOWNTO 360); bufer(46)(7 DOWNTO 0):=message_block(375 DOWNTO 368); bufer(47)(7 DOWNTO 0):=message_block(383 DOWNTO 376); bufer(48)(7 DOWNTO 0):=message_block(391 DOWNTO 384); bufer(49)(7 DOWNTO 0):=message_block(399 DOWNTO 392); bufer(50)(7 DOWNTO 0):=message_block(407 DOWNTO 400); bufer(51)(7 DOWNTO 0):=message_block(415 DOWNTO 408); bufer(52)(7 DOWNTO 0):=message_block(423 DOWNTO 416); bufer(53)(7 DOWNTO 0):=message_block(431 DOWNTO 424); bufer(54)(7 DOWNTO 0):=message_block(439 DOWNTO 432); bufer(55)(7 DOWNTO 0):=message_block(447 DOWNTO 440); bufer(56)(7 DOWNTO 0):=message_block(455 DOWNTO 448); bufer(57)(7 DOWNTO 0):=message_block(463 DOWNTO 456); bufer(58)(7 DOWNTO 0):=message_block(471 DOWNTO 464); bufer(59)(7 DOWNTO 0):=message_block(479 DOWNTO 472); bufer(60)(7 DOWNTO 0):=message_block(487 DOWNTO 480); bufer(61)(7 DOWNTO 0):=message_block(495 DOWNTO 488); bufer(62)(7 DOWNTO 0):=message_block(503 DOWNTO 496); bufer(63)(7 DOWNTO 0):=message_block(511 DOWNTO 504); Odsecanje poslednjih bita iz heš vrednosti vrši se pomoću funkcije hash_final_func: hash_final(223 DOWNTO 216):=H(100)(7 DOWNTO 0); hash_final(215 DOWNTO 208):=H(101)(7 DOWNTO 0);... hash_final(7 DOWNTO 0):=H(127)(7 DOWNTO 0); Opis rada top-level entiteta Nakon što su objašnjene procedure potrebne za implementaciju algoritma, biće opisan kod u arhitekturi top-level entiteta kojim se realizuje celokupna obrada poruke. Pre nego što se počne sa opisom koda, radi uvida u celokupan kod biće prikazana arhitektura top-level entiteta: ARCHITECTURE JH_algorithm OF JH_224 IS BEGIN SIGNAL state_automat:final_automat; SIGNAL brojac: INTEGER; SIGNAL message_block_internal: STD_LOGIC_VECTOR(511 DOWNTO 0); SIGNAL flag: STD_LOGIC; PROCESS(reset,clk) BEGIN VARIABLE H: hash_type; VARIABLE roundconstant:round_zero_type; VARIABLE Q: round_type; VARIABLE hash_final: STD_LOGIC_VECTOR(223 DOWNTO 0); IF (reset='1') THEN state_automat<= init; flag<='0'; get_hash<='0'; init_done<='0'; receive_ready<='0'; 22

23 hashvalue<=(others=>'0'); ELSIF (clk'event AND clk='1') THEN CASE (state_automat) IS WHEN init => init_done<='0'; get_hash<='0'; hash_initial(h,q); roundconstant_expanded_func(roundconstant); state_automat<=round_init; brojac<=0; receive_ready<='0'; WHEN round_init => r8(roundconstant,q); update_roundconstant(roundconstant); brojac<=brojac+1; IF (brojac>40) THEN f8_reverse(q,h); state_automat<= idle; init_done<='1'; ELSE state_automat<= processing_init; init_done<='0'; END IF; WHEN processing_init => state_automat<= round_init; WHEN idle => get_hash<='0'; receive_ready<='1'; IF (first_block='1') THEN brojac<=0; message_block_internal<=message_block; roundconstant_expanded_func(roundconstant); hash_calculate(message_block, H, Q); state_automat<= round; receive_ready<='0'; IF (last_block='1') THEN flag<='1'; ELSE flag<='0'; END IF; END IF; WHEN round => r8(roundconstant,q); update_roundconstant(roundconstant); receive_ready<='0'; brojac<=brojac+1; IF (brojac>40) THEN IF (flag='1') THEN hash_calculate_reverse(message_block, Q, H); state_automat<= finalization; ELSE state_automat<= processing; END IF; ELSE state_automat<= processing_round; END IF; WHEN processing_round => state_automat<= round; WHEN processing => message_block_internal<=message_block; hash_calculate_reverse(message_block_internal, Q, H); 23

24 roundconstant_expanded_func(roundconstant); receive_ready<='1'; brojac<=0; hash_calculate(message_block, H, Q); state_automat<= round; IF (last_block='1') THEN flag<='1'; ELSE flag<='0'; END IF; WHEN finalization => get_hash<='1'; message_block_internal<=message_block; hash_final_func(h,hash_final); hashvalue<=hash_final; state_automat<= init; receive_ready<='0'; END CASE; END IF; END PROCESS; END JH_algorithm; Kako je u pitanju sekvencijalni kod, on se nalazi unutar procesa. U listi osetljivosti nalazi se signal takta clk, što znači da se kod izvršava svaki put kada doďe do promene vrednosti signala takta. Dizajn ima mogućnost asinhronog reseta, što znači da se obrada vrši ukoliko signal za reset nije aktivan, u suprotnom se interni signali i izlazni portovi postavljaju na inicijalne vrednosti. Signali definisani unutar arhitekture su: state_automat, brojac i message_block_internal. Signal state_automat je tipa final_automat i njegova trenutna vrednost predstavlja fazu obrade u kojoj se dizajn nalazi (init, round_init, processing_init,idle, round, processing_round, processing, finalization). Signal brojac je tipa integer i kontroliše broj iteracija (rundi) u fazama round_init i round, da bi se znao trenutak kada dizajn moţe preći u odreďeno naredno stanje. Signal message_block_internal je interni signal u kome se čuva blok poruke sa ulaza, koji se koristi priliikom operacije XOR poruke sa drugom (desnom) polovinom heš promenljive, iz razloga što se u meďuvremenu na ulazu pojavljuje novi blok poruke, a potreban je odreďeni broj taktova da se jedan blok poruke obradi. Ovaj signal se primenjuje prilikom korišćenja procedure hash_calculate_reverse kada je na ulazu novi blok poruke. Signal flag je tipa STD_LOGIC i označava da se obraďuje poslednji blok poruke (kada je postavljen na aktivnu vrednost), tako da se na taj način prelazi u finalno stanje. U deklarativnom delu procesa definisane su već pomenute varijable na početku potpoglavlja 4.3,H, roundconstant, Q i hash_final, tipa hash_type, round_zero_type, round_type i STD_LOGIC_VECTOR, respektivno, iz razloga što su za većinu procedura argumenti varijable. Naredbe koje se izvršavaju u slučaju aktivnog signala za reset su sledeće: state_automat<=init; flag<='0'; get_hash<='0'; init_done<='0'; receive_ready<='0'; hashvalue<=(others=>'0'); 24

25 Nakon reseta, dizajn se prebacuje u stanje init, tj. stanje u kojem još uvek ne stiţe poruka, odnosno gde se računa inicijalna heš vrednost. Signal hashvalue se postavlja na nultu vrednost, kao i signali flag, get_hash, init_done i recieve_ready. Ukoliko je signal za reset neaktivan, u zavisnosti od vrednosti signala state_automat, izvršava se odgovarajući kod. U tu svrhu je iskorišćena CASE struktura. Kod koji se izvršava je onaj koji se nalazi u okviru WHEN dela koji sadrţi vrednost koja se poklapa sa trenutnom vrednosti signala state_automat. Ukoliko se dizajn nalazi u init stanju, izvršava se sledeći kod: init_done<='0'; get_hash<='0'; hash_initial(h,q); roundconstant_expanded_func(roundconstant); state_automat<=round_init; brojac<=0; receive_ready<='0'; U ovoj fazi se heš promenljivoj H dodeljuje vrednost unapred definisane konstante u paketu, vrši se grupisanje bita i kao izlaz procedure hash_initial dobijamo promenljivu Q. TakoĎe se i ulazno-izlaznom argumentu procedure roundconstant_expanded_func, roundconstant, dodeljuje vrednost unapred definisane konstante u paketu. Signali init_done, get_hash i receive_ready su setovani na nultu vrednost, obzirom da incijalna heš vrednost još uvek nije izračunata, kao i da finalna heš vrednost nije u toku izračunavanja, i naposletku, još nije pristigao prvi blok poruke kada bi započela obrada, jer je još u toku izračunavanje inicijalne heš vrednosti. TakoĎe, obzirom da još nije pozvana round funkcija,brojač takoďe ima nultu vrednost. Ukoliko se dizajn nalazi u round_init stanju, izvršava se sledeći kod: r8(roundconstant,q); update_roundconstant(roundconstant); brojac<=brojac+1; IF (brojac>40) THEN f8_reverse(q,h); state_automat<=idle; init_done<='1'; ELSE state_automat<=processing_init; init_done<='0'; Ulazni argumenti r8 procedure su roundconstant i Q. Kao izlaz ove procedure dobija se promenljiva Q. TakoĎe se i promenljiva roundconstant aţurira putem procedure update_roundconstant. Varijabla brojac se aţurira. Ukoliko još uvek nisu 42 runde obraďene, faza prelazi u processing_init, iz koje se ponovo vraća u round_init stanje: state_automat<= round_init; Ukoliko su 42 runde izvršene, izvršava se procedura f8_reverse, čiji je ulazni argument promenljiva Q, a izlazni promenljiva H. U okviru ove procedure se izvršava degrupisanje bita, kao i XOR operacija sa drugom polovinom heš promenljive. Na ovaj način je izračunata inicijalna heš vrednost, te je naredna faza idle, kada je dizajn spreman za primanje prvog bloka poruke. init_done je aktivan jer je inicijalizacija završena. Naredna faza je faza idle: get_hash<='0'; receive_ready<='1'; IF (first_block='1') THEN brojac<=0; message_block_internal<=message_block; 25

26 roundconstant_expanded_func(roundconstant); hash_calculate(message_block, H, Q); state_automat<= round; receive_ready<='0'; IF (last_block='1') THEN flag<='1'; ELSE flag<='0'; END IF; END IF; Obzirom da se finalna heš vrednost još uvek ne računa, signal get_hash i dalje ima nultu vrednost. Prvi blok je spreman da bude primljen i obraďen, pa je signal receive_ready aktivan. Ukoliko je primljen prvi blok, on se smešta u internu promenljivu message_block_internal, zatim se vrši resetovanje roundconstant promenljive i (dodeljuje joj se vrednost konstante definisane u paketu) u okviru procedure roundconstant_expanded_func. Zatim se poziva procedura hash_calculate, koja ima istu ulogu kao procedura hash_initial, samo što se sada operacije vrše nad stvarnim blokom poruke, datim kao ulazni argument. Kao izlaz ove procedure dobija se promenljiva Q. Dizajn još uvek nije spreman za prijem novog bloka poruke, te je signal receive_ready još uvek neaktivan. Ukoliko je prvi blok koji je primljen ujedno i poslednji, signal flag postaje aktivan. Naredna faza u koju se prelazi je round faza: r8(roundconstant,q); update_roundconstant(roundconstant); receive_ready<='0'; brojac<=brojac+1; IF (brojac>40) THEN IF (flag='1') THEN hash_calculate_reverse(message_block, Q, H); state_automat<= finalization; ELSE automat<= processing; END IF; ELSE state_automat<= processing_round; END IF; Ulazni argumenti r8 procedure su roundconstant i Q. Kao izlaz ove procedure dobija se promenljiva Q. TakoĎe se i promenljiva roundconstant aţurira putem procedure update_roundconstant. Dizajn još uvek nije spreman za prijem novog bloka poruke, te je signal receive_ready još uvek neaktivan. Varijabla brojač se aţurira. Ukoliko još uvek nisu 42 runde obraďene, faza prelazi u processing_round, iz koje se ponovo vraća u round_init stanje: state_automat<=round; Ukoliko su 42 runde izvršene, ispituje se aktivnost signala flag, odnosno da li se obrada vrši nad poslednjim blokom poruke. Ukoliko je signal flag aktivan, izvršava se procedura hash_calculate_reverse, čiji je ulazni argument promenljiva Q kao i ulazni blok message_block, a izlazni promenljiva H. U okviru ove procedure se izvršava degrupisanje bita, kao i XOR operacija sa drugom polovinom heš promenljive. Na ovaj način je izračunata heš vrednost, te je naredna faza finalization, kada je dizajn spreman za generisanje finalne heš vrednosti. Ukoliko signal flag nije aktivan, dizajn prelazi u stanje processing: message_block_internal<=message_block; hash_calculate_reverse(message_block_internal, Q, H); roundconstant_expanded_func(roundconstant); receive_ready<='1'; brojac<=0; roundconstant_expanded_func(roundconstant); 26

27 hash_calculate(message_block, H, Q); state_automat<= round; IF (last_block='1') THEN flag<='1'; ELSE flag<='0'; END IF; Poslednji primljeni blok poruke se čuva u internoj promenljivoj message_block_internal. Zatim se procedurom hash_calculate_reverse čiji su ulazni argumenti message_block_internal i Q, a izlazni H, vrši izračunavanje finalne heš vrednosti, H. TakoĎe se vrši resetovanje roundconstant promenljive (i dodeljuje joj se vrednost konstante definisane u paketu) u okviru procedure roundconstant_expanded_func. Sada je dizajn spreman da primi novi blok poruke, te je signal receive_ready aktivan.. Brojač brojac se takoďe resetuje na nultu vrednost, jer je sada potrebno obraditi 42 runde nad novim blokom poruke. Zatim se putem procedure hash_calculate ponovo dobija vrednost promenljive Q, te se dizajn ponovo vraća u fazu round. TakoĎe se ponovo ispituje da li je u pitanju poslednji blok, i, ukoliko jeste, signal flag se aktivira. Poslednja faza izvršavanja je finalization: get_hash<='1'; message_block_internal<=message_block; hash_final_func(h,hash_final); hashvalue<=hash_final; state_automat<= init; receive_ready<='0'; Sada je dizajn spreman da generiše finalnu heš vrednost, te signal get_hash postaje aktivan. Poslednji blok poruke se čuva u internom signalu, ukoliko naredna poruka stigne na ulaz. Procedura hash_final_func odseca poslednje bite (onoliko koliko zahteva verzija algoritma). Kao ulazni argument je poslednja izračunata heš funkcija H, a kao izlazni je hash_final. Promenljiva hash_final se smešta u signal hashvalue, koji predstavlja izlaz. Obzirom da je obrada završena, nijedan blok u okviru ove poruke više ne postoji da bi bio primljen, te je signal receive_ready neaktivan. Dizajn se vraća u init stanje i time je spreman za prijem naredne poruke, kada će se signal receive_ready ponovo aktivirati u idle stanju Razlike u kodu za ostale duţine heš vrednosti Kako postoje odreďene razlike u koracima algoritma izmeďu JH-224, JH-256, JH-384 i JH- 512, postoje i razlike u VHDL kodu. Princip odreďivanja inicijalne heš vrednosti je identičan. Razlika je u vrednosti prva dva bajta heš promenljive, H, odnosno vrednosti su: 0x00E0, 0x0100, 0x0180, 0x0200 za JH-224, JH- 256, JH-384 i JH-512, respektivno. Ove konstante su definisane u paketu: JH-224: CONSTANT hashbitlen:std_logic_vector(15 DOWNTO 0):= X"00E0"; JH-256: CONSTANT hashbitlen:std_logic_vector(15 DOWNTO 0):= X"0100"; JH-384: CONSTANT hashbitlen:std_logic_vector(15 DOWNTO 0):= X"0180"; JH-512: CONSTANT hashbitlen:std_logic_vector(15 DOWNTO 0):= X"0200"; TakoĎe, razlika je i u duţini izlaza finalne heš vrednosti: JH-224: hashvalue:out STD_LOGIC_VECTOR(223 DOWNTO 0) hash_final:std_logic_vector(223 DOWNTO 0); JH-256: 27

28 hashvalue:out STD_LOGIC_VECTOR(255 DOWNTO 0) hash_final:std_logic_vector(255 DOWNTO 0); JH-384: hashvalue:out STD_LOGIC_VECTOR(383 DOWNTO 0) hash_final:std_logic_vector(383 DOWNTO 0); JH-512: hashvalue:out STD_LOGIC_VECTOR(511 DOWNTO 0) hash_final:std_logic_vector(511 DOWNTO 0); Usled ovih razlika aţurira se i se i procedura hash_final_func: JH-224: hash_final(223 DOWNTO 216):=H(100)(7 DOWNTO 0); hash_final(215 DOWNTO 208):=H(101)(7 DOWNTO 0);... hash_final(7 DOWNTO 0):=H(127)(7 DOWNTO 0); JH-256: hash_final(255 DOWNTO 248):=H(96)(7 DOWNTO 0); hash_final(247 DOWNTO 240):=H(97)(7 DOWNTO 0);... hash_final(7 DOWNTO 0):=H(127)(7 DOWNTO 0); JH-384: hash_final(383 DOWNTO 376):=H(80)(7 DOWNTO 0); hash_final(375 DOWNTO 368):=H(81)(7 DOWNTO 0);... hash_final(7 DOWNTO 0):=H(127)(7 DOWNTO 0); JH-512: hash_final(511 DOWNTO 504):=H(64)(7 DOWNTO 0); hash_final(503 DOWNTO 496):=H(65)(7 DOWNTO 0);... hash_final(7 DOWNTO 0):=H(127)(7 DOWNTO 0); Iz priloţenog se moţe zaključiti da nije potrebno značajno menjati dizajn kako bi se ostvarila podrška za drugačiju duţinu rezultujućeg heša. 28

29 5. OPIS PERFORMANSI I VERIFIKACIJA DIZAJNA 5.1. Opis performansi Izvršavanjem procesa analize i sinteze u slučaju sve četiri duţine rezultujućeg heša, dobijene su informacije o performansama svake od implementacija. Proces analize i sinteze izvršen je u ISE razvojnom okruţenju za FPGA čipove proizvoďača Xilinx. U pitanju je dobra procena vrednosti i one su date u tabeli Obzirom da dizajn podrazumeva veći broj pinova, za duţine 224 i 256 izabran je ureďaj XC6VLX550T familije Virtex6, dok je za duţine 384 i 512 izabran ureďaj XC6VLX760 isto familije Virtex6. Vrednost Naziv JH-224 JH-256 JH-384 JH-512 Broj slajs registara Broj slajs LUT-ova Broj potpuno iskorišćenih parova LUT-FF Broj globalnih taktova (BUFG/BUFGCTRL) Broj pinova Maksimalna frekvencija 3079/ (0%) 3111/ (0%) 3239/ (0%) 3367/ (0%) 5249/ (1%) 5250/ (1%) 5248/ (1%) 5250/ (1%) 2345/5983 (39%) 2345/6016 (38%) 2345/6142 (38%) 2345/6272 (37%) 1/32 (3%) 1/32 (3%) 1/32 (3%) 1/32 (3%) 743/840 (88%) 775/840 (92%) 903/1200 (75%) 1031/1200 (86%) MHz MHz MHz MHz Tabela Procenjene performanse za različite duţine izlaza Prema podacima iz Tabele moţe se primetiti da se broj pinova na čipu menja sa povećanjem veličine (odnosno broja bita) izlaza. Na primer, verzija JH-224 ima 744 pinova, dok JH-512 ima 1032 pina, što je posledica većeg rezultujućeg heša. Dodavanjem dodatnih blokova koji bi vršili konverziju paralela u seriju na izlazu, i konverziju serije u paralelu na ulazu, mogao bi se smanjiti broj potrebnih pinova. TakoĎe, broj registara se povećava sa povećanjem duţine heša jer se registri koriste za čuvanje stanja. Za sve četiri implementacije je korišćen jedan globalni takt clk. Maksimalna frekvencija je ista za bilo koju duţinu izlaza. Na ovaj način je pokazano da se troše skromi resursi, što je dobar svedok da je implementacija JH dizajna veoma efikasna. 29

30 5.2. Verifikacija dizajna U ovom potpoglavlju će biti opisana verifikacija procedura kao i celokupnog dizajna JH algoritma. Testiraće se poklapanje vrednosti dobijenih nakon pokretanja funkcionalne simulacije u okviru ISim simulatora sa vrednostima u referentnom fajlu. Referentni fajlovi za sve duţine izlaza su preuzeti sa zvaničnog sajta instituta NIST i kompletni fajlovi će biti priloţen na CD-u pod imenom ShortMsgKAT_224.txt, ShortMsgKAT_256.txt, ShortMsgKAT_384.txt i ShortMsgKAT_512.txt. Verifikacija dizajna biće prikazana za JH-224 verziju algoritma. Padding procedura je obavljena ručno. Verifikacija dizajna je prikazana za četiri slučaja: Kada poruku čini jedan blok. Kada poruku čine dva bloka. Kada poruku čine tri bloka. Kada se vrši heširanje uzasopne dve poruke Verifikacija dizajna poruke sa jednim blokom Poruka čiji se heš traţi je duţine 0 bita, tako da će postojati samo jedan blok. U priloţenom tekstualnom fajlu je uzet slučaj: Len = 0 / Msg = 00 MD = 2C99DF889B C60FECC2BD285A774940E43175B76B Pokretanjem simulacije dobija se vrednost koja je priloţena u tekstualnom fajlu, prikazana na slici : Slika Promenljiva koja predstavlja heš vrednost Vreme čekanja da se inicijalizacija obradi je 900ns. Signal get_hash postaje aktivan u ns, odnosno u tom trenutku dobijamo heširanu vrednost, kao na slici : Slika Izgled prozora ISim simulatora nakon pokretanja simulacije celokupnog dizajna Verifikacija dizajna poruke od dva bloka Poruka čiji se heš traţi je duţine 24 bita, tako da će postojati dva bloka. U priloţenom tekstualnom fajlu je uzet slučaj: 30

31 Len = 24 / Msg = 1F877C MD = 385D05CFACE35FDB84DC180D766330AFDCE0F8F0C751F8F Pokretanjem simulacije dobija se vrednost koja je priloţena u tekstualnom fajlu, prikazana na slici : Slika Promenljiva koja predstavlja heš vrednost Vreme čekanja da se inicijalizacija obradi je 900ns. Signal get_hash postaje aktivan u ns, odnosno u tom trenutku dobijamo heširanu vrednost, kao na slici : Slika Izgled prozora ISim simulatora nakon pokretanja simulacije celokupnog dizajna Verifikacija dizajna poruke od tri bloka Poruka čiji se heš traţi je duţine 576 bita, tako da će postojati tri bloka. U priloţenom tekstualnom fajlu je uzet slučaj: Len = 576 / Msg = 1EED9CBA179A009EC2EC DD305477CA117E6D569E66B5F64C6BC64801CE25A8424 CE4A26D575B8A6FB10EAD3FD1992EDDDEEC2EBE7150DC98F63ADC3237EF57B91397AA8 A7 MD = DE42B5EF78AB2F30887D0790E20425D3CC81BFE18BF126705E7C2041 Pokretanjem simulacije dobija se vrednost koja je priloţena u tekstualnom fajlu, prikazana na slici : Slika Promenljiva koja predstavlja heš vrednost Vreme čekanja da se inicijalizacija obradi je 900ns. Signal get_hash postaje aktivan u ns, odnosno u tom trenutku dobijamo heširanu vrednost, kao na slici : 31

32 Slika Izgled prozora ISim simulatora nakon pokretanja simulacije celokupnog dizajna Verifikacija dizajna dve pristigle poruke Za testiranje dve poruke, koje se heširaju sukcesivno jedna za drugom, uzeti su gorepomenuti slučajevi duţina od 24 i 0 bita. Na izlazu se prvo treba pojaviti heširana vrednost za poruku duţine 24 bita, a zatim za poruku duţine 0 bita. Vreme čekanja da se inicijalizacija obradi je 900ns. Vreme čekanja izmeďu dve poruke je postavljeno na 1000ns. Signal get_hash postaje aktivan u ns, odnosno u tom trenutku dobijamo heširanu vrednost za duţinu poruke od 24 bita. Zatim ponovo postaje aktivan u trenutku 4.275ns kada se dobija heš vrednost za poruku duţine 0 bita, kao na slici : Slika Izgled prozora ISim simulatora nakon pokretanja simulacije celokupnog dizajna Uspešno je testirano ponašanje dizajna i u slučaju drugih ulaznih podataka (poruka), kao i ponašanje dizajna za različite duţine heš izlaza. Zbog analogije u principu testiranja, ali i da bi se izbegle redudantnosti u tekstu teze, prikazana je verifikacija za samo jednu duţinu heš izlaza. 32

06 Poverljivost simetricnih algoritama1

06 Poverljivost simetricnih algoritama1 ЗАШТИТА ПОДАТАКА Симетрични алгоритми заштите поверљивост симетричних алгоритама Преглед биће објашњено: коришћење симетричних алгоритама заштите како би се заштитила поверљивост потреба за добрим системом

Више

ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU

ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU HARDVERSKA IMPLEMENTACIJA SKEIN ALGORITMA ZA HEŠIRANJE Master rad Kandidat: Strahinja Jović 3187/2013 Mentor: doc. dr Zoran Čiča Beograd, Septembar 2015.

Више

Увод у организацију и архитектуру рачунара 1

Увод у организацију и архитектуру рачунара 1 Увод у организацију и архитектуру рачунара 2 Александар Картељ kartelj@matf.bg.ac.rs Напомена: садржај ових слајдова је преузет од проф. Саше Малкова Увод у организацију и архитектуру рачунара 2 1 Секвенцијалне

Више

LAB PRAKTIKUM OR1 _ETR_

LAB PRAKTIKUM OR1 _ETR_ UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM: ELEKTRONIKA, TELEKOMUNIKACIJE I RAČUNARI PREDMET: OSNOVE RAČUNARSTVA 1 FOND ČASOVA: 2+1+1 LABORATORIJSKA VJEŽBA BROJ 1 NAZIV: REALIZACIJA

Више

Орт колоквијум

Орт колоквијум II колоквијум из Основа рачунарске технике I - 27/28 (.6.28.) Р е ш е њ е Задатак На улазе x, x 2, x 3, x 4 комбинационе мреже, са излазом z, долази четворобитни BCD број. Ако број са улаза при дељењу

Више

08 RSA1

08 RSA1 Преглед ЗАШТИТА ПОДАТАКА Шифровање јавним кључем и хеш функције RSA алгоритам Биће објашњено: RSA алгоритам алгоритам прорачунски аспекти ефикасност коришћењем јавног кључа генерисање кључа сигурност проблем

Више

POSLOVNI INFORMACIONI SISTEMI I RA^UNARSKE

POSLOVNI INFORMACIONI SISTEMI  I RA^UNARSKE ZNAČAJ RAČUNARSKIH KOMUNIKACIJA U BANKARSKOM POSLOVANJU RAČUNARSKE MREŽE Računarske mreže su nastale kombinacijom računara i telekomunikacija dve tehnologije sa veoma različitom tradicijom i istorijom.

Више

Орт колоквијум

Орт колоквијум Испит из Основа рачунарске технике - / (6.6.. Р е ш е њ е Задатак Комбинациона мрежа има пет улаза, по два за број освојених сетова тенисера и један сигнал који одлучује ко је бољи уколико је резултат

Више

Microsoft PowerPoint - 12a PEK EMT VHDL 1 od 4 - Uvod (2011).ppt [Compatibility Mode]

Microsoft PowerPoint - 12a PEK EMT VHDL 1 od 4 - Uvod (2011).ppt [Compatibility Mode] VHDL jezik za opis hardvera VHDL jezik za opis hardvera VHDL jezik za opis hardvera Prof. Dr Predrag Petković Dr Miljana Milić Sadržaj 1. Šta je VHDL? 2. Opis hardvera 3. Signali 4. Osnove VHDL pravopisa

Више

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x I колоквијум из Основа рачунарске технике I СИ- / (...) Р е ш е њ е Задатак Тачка А Потребно је прво пронаћи вредности функција f(x, x, x ) и g(x, x, x ) на свим векторима. f(x, x, x ) = x x + x x + x

Више

Classroom Expectations

Classroom Expectations АТ-8: Терминирање производно-технолошких ентитета Проф. др Зоран Миљковић Садржај Пројектовање флексибилних ; Математички модел за оптимизацију флексибилних ; Генетички алгоритми у оптимизацији флексибилних

Више

Logicko projektovanje racunarskih sistema I

Logicko projektovanje racunarskih sistema I POKAZNA VEŽBA 10 Strukture za računanje Potrebno predznanje Urađena pokazna vežba 8 Poznavanje aritmetičkih digitalnih sistema i aritmetičko-logičkih jedinica Osnovno znanje upravljačkih jedinica digitalnih

Више

ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera

ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera CILJ VEŽBE Cilj ove vežbe je da se studenti kreiranjem

Више

P11.3 Analiza zivotnog veka, Graf smetnji

P11.3 Analiza zivotnog veka, Graf smetnji Поједностављени поглед на задњи део компајлера Међурепрезентација (Међујезик IR) Избор инструкција Додела ресурса Распоређивање инструкција Инструкције циљне архитектуре 1 Поједностављени поглед на задњи

Више

Inženjering informacionih sistema

Inženjering informacionih sistema Fakultet tehničkih nauka, Novi Sad Inženjering informacionih sistema Dr Ivan Luković Dr Slavica Kordić Nikola Obrenović Milanka Bjelica Dr Jelena Borocki Dr Milan Delić UML UML (Unified Modeling Language)

Више

Microsoft Word - SIORT1_2019_K1_resenje.docx

Microsoft Word - SIORT1_2019_K1_resenje.docx I колоквијум из Основа рачунарске технике I СИ- 208/209 (24.03.209.) Р е ш е њ е Задатак f(x, x 2, x 3 ) = (x + x x ) x (x x 2 + x ) + x x 2 x 3 f(x, x 2, x 3 ) = (x + x x ) (x x + (x )) 2 + x + x x 2

Више

Računarske mreže Čas 2 Ivana Tanasijević Matematički fakultet, Beograd 1

Računarske mreže Čas 2 Ivana Tanasijević   Matematički fakultet, Beograd 1 Računarske mreže Čas 2 Ivana Tanasijević e-mail: ivana@matf.bg.ac.rs Matematički fakultet, Beograd 1 Utvrđivanje gradiva sa prethodnog časa: popunjavanje adresne strukture, kreiranje soketa, privezivanje

Више

Рачунарска интелигенција

Рачунарска интелигенција Рачунарска интелигенција Генетско програмирање Александар Картељ kartelj@matf.bg.ac.rs Ови слајдови представљају прилагођење слајдова: A.E. Eiben, J.E. Smith, Introduction to Evolutionary computing: Genetic

Више

Повезивање са интернетом

Повезивање са интернетом Драгана Стопић Интернет Интернет је најпознатија и највећа светска мрежа која повезује рачунаре и рачунарске мреже у једну мрежу, у циљу сарадње и преноса информација употребом заједничких стандарда. INTERnational

Више

SPR , IV godina, VHDL – Ispitna pitanja

SPR , IV godina, VHDL – Ispitna pitanja VHDL, SPR, II kolokvijum, priprema, 2016 Napomena: Na kolokvijumu dolazi 1 zadatak (40%) i 1 praktican projekat (60%). Radi se u grupama prema utvrdjenom rasporedu od I kolokvijuma. A. ZADAI Priloziti

Више

Microsoft PowerPoint - PRI2014 KORIGOVANO [Compatibility Mode]

Microsoft PowerPoint - PRI2014 KORIGOVANO [Compatibility Mode] 1. Broj bitova koji se jednovremeno prenosi i obrađuje unutar procesora naziva se: a) radni takt b) procesorski kod c) procesorska reč d) procesorski takt 1. Broj bitova koji se jednovremeno prenosi i

Више

УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке Писмени део испита из предмета Увод у рачунарство 1. [7 пое

УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке Писмени део испита из предмета Увод у рачунарство 1. [7 пое УНИВЕРЗИТЕТ У НИШУ ПРИРОДНО-МАТЕМАТИЧКИ ФАКУЛТЕТ Департман за рачунарске науке 30.06.2018. Писмени део испита из предмета Увод у рачунарство 1. [7 поена] Методом МакКласкија минимизарити систем прекидачких

Више

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на след

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на след Испит из Основа рачунарске технике OO - / (...) Р е ш е њ е Задатак Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на следећој слици: S R Асинхрони RS флип флопреализован помоћу НИЛИ кола је

Више

Dijagrami sekvenci

Dijagrami sekvenci Dijagrami sekvenci Izrada dijagrama sekvenci Dijagram sekvenci koristi se za specifikaciju vremenskih zahteva u opisu složenih scenarija opis toka poruka između objekata kojima se realizuje odgovarajuća

Више

Microsoft PowerPoint - Programski_Jezik_C_Organizacija_Izvornog_Programa_I_Greske [Compatibility Mode]

Microsoft PowerPoint - Programski_Jezik_C_Organizacija_Izvornog_Programa_I_Greske [Compatibility Mode] Programski jezik C organizacija izvornog programa Prevođenje Pisanje programa izvorni program Prevođenje programa izvršni program Izvršavanje programa rezultat Faze prevođenja Pretprocesiranje Kompilacija

Више

Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: min c T x Ax = b x 0 x Z n Gde pretpostavljamo da je A celobrojna matrica

Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: min c T x Ax = b x 0 x Z n Gde pretpostavljamo da je A celobrojna matrica Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: min c T x Ax = b x 0 x Z n Gde pretpostavljamo da je A celobrojna matrica dimenzije m n, b Z m, c Z n. Takođe, očekuje se da

Више

Microsoft Word - AIDA2kolokvijumRsmerResenja.doc

Microsoft Word - AIDA2kolokvijumRsmerResenja.doc Konstrukcija i analiza algoritama 2 (prvi kolokvijum, smer R) 1. a) Konstruisati AVL stablo od brojeva 100, 132, 134, 170, 180, 112, 188, 184, 181, 165 (2 poena) b) Konkatenacija je operacija nad dva skupa

Више

Skripte2013

Skripte2013 Chapter 2 Algebarske strukture Preslikivanje f : A n! A se naziva n-arna operacija na skupu A Ako je n =2, kažemo da je f : A A! A binarna operacija na A Kažemo da je operacija f arnosti n, u oznaci ar

Више

Microsoft PowerPoint - OOPpredavanja05 [Compatibility Mode]

Microsoft PowerPoint - OOPpredavanja05 [Compatibility Mode] OBJEKTNO ORIJENTISANO PROGRAMIRANJE PREDAVANJE 5 OBJEKTI U INTERAKCIJI Miloš Kovačević Đorđe Nedeljković 1 /25 OSNOVNI KONCEPTI - Abstrakcija - Modularizacija - Objektne reference - Klasni dijagram - Objektni

Више

ЗАШТИТА ПОДАТАКА

ЗАШТИТА ПОДАТАКА ЗАШТИТА ПОДАТАКА Заштита електронске поште Pretty Good Privacy (PGP) Преглед Биће објашњено: Заштита електронске поште PGP историјат нотација операциони опис аутентикација тајност компресија e-mail компатибилност

Више

Sveučilište u Zagrebu

Sveučilište u Zagrebu SVEUČILIŠTE U ZAGREBU FAKULTET ELEKTROTEHNIKE I RAČUNARSTVA RAČUNALNA FORENZIKA SEMINAR VoIP enkripcija Ivan Laznibat Zagreb, siječanj, 2017. Sadržaj 1. Uvod... 1 2. VoIP enkripcija... 3 2.1 PKI (eng.

Више

1

1 Podsetnik: Statističke relacije Matematičko očekivanje (srednja vrednost): E X x p x p x p - Diskretna sl promenljiva 1 1 k k xf ( x) dx E X - Kontinualna sl promenljiva Varijansa: Var X X E X E X 1 N

Више

Zbirka resenih zadataka iz arhitekture racunara

Zbirka resenih zadataka iz arhitekture racunara Ј. ЂОРЂЕВИЋ, З. РАДИВОЈЕВИЋ, М. ПУНТ, Б. НИКОЛИЋ, Д. МИЛИЋЕВ, Ј. ПРОТИЋ, А. МИЛЕНКОВИЋ АРХИТЕКТУРА И ОРГАНИЗАЦИЈА РАЧУНАРА ПРЕКИДИ, МАГИСТРАЛА И УЛАЗ/ИЗЛАЗ ЗБИРКА РЕШЕНИХ ЗАДАТАКА Београд 2013. i САДРЖАЈ

Више

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode]

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode] ij Cilj: Dobiti što više informacija o ponašanju digitalnih kola za što kraće vreme. Metod: - Detaljni talasni oblik signala prikazati samo na nivou logičkih stanja. - Simulirati ponašanje kola samo u

Више

Техничко решење: Софтвер за симулацију стохастичког ортогоналног мерила сигнала, његовог интеграла и диференцијала Руководилац пројекта: Владимир Вуји

Техничко решење: Софтвер за симулацију стохастичког ортогоналног мерила сигнала, његовог интеграла и диференцијала Руководилац пројекта: Владимир Вуји Техничко решење: Софтвер за симулацију стохастичког ортогоналног мерила сигнала, његовог интеграла и диференцијала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аутори: Велибор

Више

Microsoft Word - CAD sistemi

Microsoft Word - CAD sistemi U opštem slučaju, se mogu podeliti na 2D i 3D. 2D Prvo pojavljivanje 2D CAD sistema se dogodilo pre više od 30 godina. Do tada su inženjeri koristili table za crtanje (kulman), a zajednički jezik komuniciranja

Више

PowerPoint Presentation

PowerPoint Presentation Prof. dr Pere Tumbas Prof. dr Predrag Matkovid Identifikacija i izbor projekata Održavanje sistema Inicijalizacija projekata i planiranje Implementacija sistema Dizajn sistema Analiza sistema Faze životnog

Више

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећ

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећ Испит из Основа рачунарске технике OO - 27/2 (9.6.2.) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећој слици: S Q R Q Асинхрони RS флип флопреализован помоћу НИ

Више

QFD METODA – PRIMER

QFD METODA – PRIMER QFD METODA - PRIMER PROBLEM: U kompaniji X koja se bavi izradom kompjuterskih softvera uočen je pad prodaje konkretnog softvera - Softver za vođenje knjigovodstva. Kompanija X je raspolagala sa jednom

Више

Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР Школска 2018 /2019. Назив предмета: Информатика и рачунарство Разред: 5. Недељни број часова

Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР Школска 2018 /2019. Назив предмета: Информатика и рачунарство Разред: 5. Недељни број часова Школа Ј. Ј. Змај Свилајнац МЕСЕЧНИ ПЛАН РАДА ЗА СЕПТЕМБАР јединице 1. 1. Увод у информатику и рачунарство 1. 2. Oрганизација података на рачунару 1. 3. Рад са текстуалним документима 1. 4. Форматирање

Више

P9.1 Dodela resursa, Bojenje grafa

P9.1 Dodela resursa, Bojenje grafa Фаза доделе ресурса Ова фаза се у литератури назива и фазом доделе регистара, при чему се под регистрима подразумева скуп ресурса истог типа. Додела регистара променљивама из графа сметњи се обавља тзв.

Више

Algoritmi i arhitekture DSP I

Algoritmi i arhitekture DSP I Univerzitet u Novom Sadu Fakultet Tehničkih Nauka Katedra za računarsku tehniku i međuračunarske komunikacije Algoritmi i arhitekture DSP I INTERNA ORGANIACIJA DIGITALNOG PROCESORA A OBRADU SIGNALA INTERNA

Више

РАСПОРЕД ИСПИТА У ИСПИТНОМ РОКУ ЈАНУАР 1 ШКОЛСКЕ 2016/2017. ГОДИНЕ (последња измена ) Прва година: ПРВА ГОДИНА - сви сем информатике Име пр

РАСПОРЕД ИСПИТА У ИСПИТНОМ РОКУ ЈАНУАР 1 ШКОЛСКЕ 2016/2017. ГОДИНЕ (последња измена ) Прва година: ПРВА ГОДИНА - сви сем информатике Име пр РАСПОРЕД ИСПИТА У ИСПИТНОМ РОКУ ЈАНУАР 1 ШКОЛСКЕ 2016/2017. ГОДИНЕ (последња измена 23.01.2017.) Прва година: ПРВА ГОДИНА - сви сем информатике Име предмета Датум и термин одржавања писменог дела испита

Више

Microsoft PowerPoint - 13-Funkcije_2.ppt [Compatibility Mode]

Microsoft PowerPoint - 13-Funkcije_2.ppt [Compatibility Mode] Osnove programiranja Funkcije - Metode Prenos parametara Po vrednosti Po referenci Po izlazu Sadržaj Opseg važenja promenljive u drugim strukturama Rekurzije Prenos parametara Metoda može vratiti isključivo

Више

Slide 1

Slide 1 predmet Inženjerska informatika Operativni sistem dr Anica Milošević Koji operativni sistemi postoje? Microsoft Windows Linux Suse Red Hat Ubuntu Unix 26.1.2018. 2 Šta je Windows operativni sistem? Operativni

Више

TEORIJA SIGNALA I INFORMACIJA

TEORIJA SIGNALA I INFORMACIJA Multiple Input/Multiple Output sistemi MIMO sistemi Ulazi (pobude) Izlazi (odzivi) u 1 u 2 y 1 y 2 u k y r Obrada=Matematički model Načini realizacije: fizički sistemi (hardware) i algoritmi (software)

Више

Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић

Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аутори: Драган Пејић, Бојан Вујичић, Небојша Пјевалица,

Више

PRIRODNO MATEMATIČKI FAKULTET U NIŠU DEPARTMAN ZA RAČUNARSKE NAUKE Utorak, godine PRIJEMNI ISPIT IZ INFORMATIKE 1. Koja od navedenih ekste

PRIRODNO MATEMATIČKI FAKULTET U NIŠU DEPARTMAN ZA RAČUNARSKE NAUKE Utorak, godine PRIJEMNI ISPIT IZ INFORMATIKE 1. Koja od navedenih ekste PRIRODNO MATEMATIČKI FAKULTET U NIŠU DEPARTMAN ZA RAČUNARSKE NAUKE Utorak, 5.06.019. godine PRIJEMNI ISPIT IZ INFORMATIKE 1. Koja od navedenih ekstenzija se najčešće koristi za tekstualne datoteke? a)

Више

Teorija skupova - blog.sake.ba

Teorija skupova - blog.sake.ba Uvod Matematika je jedan od najomraženijih predmeta kod većine učenika S pravom, dakako! Zapitajmo se šta je uzrok tome? Da li je matematika zaista toliko teška, komplikovana? Odgovor je jednostavan, naravno

Више

6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe

6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe 6-8. ČAS Celobrojno programiranje Rešavamo sledeći poblem celobrojnog programiranja: Gde pretpostavljamo da je A celobrojna matrica dimenzije,. Takođe, očekuje se da su koordinate celobrojne. U slučaju

Више

Paper Title (use style: paper title)

Paper Title (use style: paper title) Статистичка анализа коришћења електричне енергије која за последицу има примену повољнијег тарифног става Аутор: Марко Пантовић Факултет техничких наука, Чачак ИАС Техника и информатика, 08/09 e-mal адреса:

Више

Tutoring System for Distance Learning of Java Programming Language

Tutoring System for Distance Learning of Java Programming Language Niz (array) Nizovi Niz je lista elemenata istog tipa sa zajedničkim imenom. Redosled elemenata u nizovnoj strukturi je bitan. Konkretnom elementu niza pristupa se preko zajedničkog imena niza i konkretne

Више

ТРОУГАО БРЗИНА и математичка неисправност Лоренцове трансформације у специјалној теорији релативности Александар Вукеља www.

ТРОУГАО БРЗИНА и математичка неисправност Лоренцове трансформације у специјалној теорији релативности Александар Вукеља www. ТРОУГАО БРЗИНА и математичка неисправност Лоренцове трансформације у специјалној теорији релативности Александар Вукеља aleksandar@masstheory.org www.masstheory.org Август 2007 О ауторским правима: Дело

Више

Funkcije predavač: Nadežda Jakšić

Funkcije predavač: Nadežda Jakšić Funkcije predavač: Nadežda Jakšić funkcije delovi programa koji izvršavaju neki zadatak, celinu; dele na ugrađene, korisničke i main funkciju ugrađene funkcije printf,scanf... da bi se one izvršile potrebno

Више

PowerPoint Presentation

PowerPoint Presentation Колоквијум # задатак подељен на 4 питања: теоријска практична пишу се програми, коначно решење се записује на папиру, кодови се архивирају преко сајта Инжењерски оптимизациони алгоритми /3 Проблем: NLP:

Више

P1.2 Projektovanje asemblera

P1.2 Projektovanje asemblera ПРОЈЕКТОВАЊЕ АСЕМБЛЕРА Асемблер Модули асемблера 1 Дефинисање новог лингвистичког нивоа превођењем Потребан преводилац алат који преводи програм написан на једном језику (на једном лингвистичком нивоу)

Више

SEMINAR

SEMINAR 1. Cilj vežbe Lekcija 9 Akvizicija i osnovna obrada slike u LabVIEW Cilj vežbe je da studente upozna sa: Akvizicijom slike. Osnovnim koracima pri obradi slike Zadatak 9.1. Povezati USB kameru i kreirati

Више

Kvantna enkripcija

Kvantna enkripcija 19. studenog 2018. QKD = Quantum Key Distribution Protokoli enkriptirane komunikacije koji koriste tzv. tajni ključ zahtijevaju da on bude poznat isključivo dvjema strankama (pošiljatelju i primatelju

Више

13E114PAR, 13S113PAR DOMAĆI ZADATAK 2018/2019. Cilj domaćeg zadatka je formiranje petlje softverske protočnosti za minimalni broj ciklusa.

13E114PAR, 13S113PAR DOMAĆI ZADATAK 2018/2019. Cilj domaćeg zadatka je formiranje petlje softverske protočnosti za minimalni broj ciklusa. 13E114PAR, 13S113PAR 29.04.2019. DOMAĆI ZADATAK 2018/2019. Cilj domaćeg zadatka je formiranje petlje softverske protočnosti za minimalni broj ciklusa. U okviru svake grupe data je doall ili doacross petlja

Више

Републички педагошки завод Бања Лука Стручни савјетник за машинску групу предмета и практичну наставу Датум: године Тема: Елементи и начин

Републички педагошки завод Бања Лука Стручни савјетник за машинску групу предмета и практичну наставу Датум: године Тема: Елементи и начин Републички педагошки завод Бања Лука Стручни савјетник за машинску групу предмета и практичну наставу Датум:.06.2009. године Тема: Елементи и начин вредновања графичког рада из раванских носачи 1 Увод:

Више

Slide 1

Slide 1 OSNOVNI POJMOVI Naredba je uputa računalu za obavljanje određene radnje. Program je niz naredbi razumljivih računalu koje rješavaju neki problem. Pisanje programa zovemo programiranje. Programski jezik

Више

PASCAL UVOD 2 II razred gimnazije

PASCAL UVOD 2 II razred gimnazije PASCAL UVOD 2 II razred gimnazije Upis-ispis 1. Upis Read(a,b); --u jednom redu Readln(a,b); -- nakon upisa prelazi se u novi red 2. Ispis Write(a,b); -- u jednom redu Writeln(a,b); --nakon ispisa prelazi

Више

Studijski primer - Dijagrami toka podataka Softverski inženjering 1

Studijski primer - Dijagrami toka podataka Softverski inženjering 1 - Dijagrami toka podataka Softverski inženjering 1 Kada projektujemo sistem za obradu podataka sa brzim odzivom, možemo uočiti dve ključne grupe funkcija koje se opisuju dijagramima toka podataka: Funkcije

Више

2015_k2_z12.dvi

2015_k2_z12.dvi OBLIKOVANJE I ANALIZA ALGORITAMA 2. kolokvij 27. 1. 2016. Skice rješenja prva dva zadatka 1. (20) Zadano je n poslova. Svaki posao je zadan kao vremenski interval realnih brojeva, P i = [p i,k i ],zai

Више

PowerPoint Presentation

PowerPoint Presentation Metode i tehnike utvrđivanja korišćenja proizvodnih kapaciteta Metode i tehnike utvrđivanja korišćenja proizvodnih kapaciteta Sa stanovišta pristupa problemu korišćenja kapaciteta, razlikuju se metode

Више

Postavka 2: Osnovni graf algoritmi 1 DISTRIBUIRANI ALGORITMI I SISTEMI Iz kursa CSCE 668 Proleće 2014 Autor izvorne prezentacije: Prof. Jennifer Welch

Postavka 2: Osnovni graf algoritmi 1 DISTRIBUIRANI ALGORITMI I SISTEMI Iz kursa CSCE 668 Proleće 2014 Autor izvorne prezentacije: Prof. Jennifer Welch Postavka 2: Osnovni graf algoritmi 1 DISTRIBUIRANI ALGORITMI I SISTEMI Iz kursa CSCE 668 Proleće 2014 Autor izvorne prezentacije: Prof. Jennifer Welch A1 Slanje svima preko fiksiranog razapinjućeg stabla

Више

Generated by Foxit PDF Creator Foxit Software For evaluation only. Operativni sistem U računarstvu, operativni sistem (OS

Generated by Foxit PDF Creator Foxit Software   For evaluation only. Operativni sistem U računarstvu, operativni sistem (OS Operativni sistem U računarstvu, operativni sistem (OS) je kompleksan programski sistem koji kontroliše i upravlja uređajima i računarskim komponentama i obavljanje osnovne sistemske radnje. Operativni

Више

Tutoring System for Distance Learning of Java Programming Language

Tutoring System for Distance Learning of Java Programming Language Deklaracija promenljivih Inicijalizacija promenljivih Deklaracija promenljive obuhvata: dodelu simboličkog imena promenljivoj i određivanje tipa promenljive (tip određuje koja će vrsta memorijskog registra

Више

Microsoft PowerPoint - 6. CMS [Compatibility Mode]

Microsoft PowerPoint - 6. CMS [Compatibility Mode] Visoka škola strukovnih studija za informacione i komunikacione tehnologije Beograd WEB TEHNOLOGIJE Drupal CMS(Content Managment System) Školska 2012/13. Marko M. Spasojević, spec. inž. Dr Nenad Kojić,

Више

PROMENLJIVE, TIPOVI PROMENLJIVIH

PROMENLJIVE, TIPOVI PROMENLJIVIH PROMENLJIVE, TIPOVI PROMENLJIVIH Šta je promenljiva? To je objekat jezika koji ima ime i kome se mogu dodeljivati vrednosti. Svakoj promenljivoj se dodeljuje registar (memorijska lokacija) operativne memorije

Више

Microsoft Word - IP_Tables_programski_alat.doc

Microsoft Word - IP_Tables_programski_alat.doc 1. IP Tables alat (pregled naredbi) 1.1. Osnovne IP Tables naredbe za filtriranje paketa U ovom poglavlju opisane su osnovne IP Tables naredbe korištene za filtriranje paketa. S programskim paketom IP

Више

Projektovanje informacionih sistema i baze podataka

Projektovanje informacionih sistema i baze podataka Realni sistem i informacioni sistem Ulaz Realni sistem Izlaz Unos Baza podataka Izveštaji Realni sistem i informacioni sistem Sistem se definiše kao skup objekata (entiteta) i njihovih međusobnih veza

Више

Grafovi 1. Posmatrajmo graf prikazan na slici sa desne strane. a) Odrediti skup čvorova V i skup grana E posmatranog grafa. Za svaku granu posebno odr

Grafovi 1. Posmatrajmo graf prikazan na slici sa desne strane. a) Odrediti skup čvorova V i skup grana E posmatranog grafa. Za svaku granu posebno odr Grafovi 1. Posmatrajmo graf prikazan na slici sa desne strane. a) Odrediti skup čvorova V i skup grana E posmatranog grafa. Za svaku granu posebno odrediti njene krajeve. b) Odrediti sledeće skupove: -

Више

Microsoft PowerPoint - vezbe 4. Merenja u telekomunikacionim mrežama

Microsoft PowerPoint - vezbe 4. Merenja u telekomunikacionim mrežama Merenja u telekomunikacionim mrežama Merenja telefonskog saobraćaja Primer 1 - TCBH Na osnovu najviših vrednosti intenziteta saobraćaja datih za 20 mernih dana (tabela), pomoću metode TCBH, pronaći čas

Више

Uputstvo za korištenje korisničkog web portala AC-U UPUTSTVO ZA KORIŠTENJE KORISNIČKOG WEB PORTALA Izdanje: 1, maj / svibanj 2019 Strana 2 od 1

Uputstvo za korištenje korisničkog web portala AC-U UPUTSTVO ZA KORIŠTENJE KORISNIČKOG WEB PORTALA Izdanje: 1, maj / svibanj 2019 Strana 2 od 1 UPUTSTVO ZA KORIŠTENJE KORISNIČKOG WEB PORTALA Izdanje: 1, maj / svibanj 2019 Strana 2 od 18 SADRŽAJ 1. PREDMET... Error! Bookmark not defined. 2. PODRUČJE PRIMJENE... 4 3. DEFINICIJE I REFERENTNI DOKUMENTI...

Више

Microsoft PowerPoint - 03-Slozenost [Compatibility Mode]

Microsoft PowerPoint - 03-Slozenost [Compatibility Mode] Сложеност алгоритама (Програмирање 2, глава 3, глава 4-4.3) Проблем: класа задатака истог типа Велики број различитих (коректних) алгоритама Величина (димензија) проблема нпр. количина података које треба

Више

I година Назив предмета I термин Вријеме II термин Вријеме Сала Математика : :00 све Основи електротехнике

I година Назив предмета I термин Вријеме II термин Вријеме Сала Математика : :00 све Основи електротехнике I година Математика 1 2225 20.06.2019. 9:00 04.07.2019. 9:00 све Основи електротехнике 1 2226 17.06.2019. 9:00 01.07.2019. 13:00 све Програмирање 1 2227 21.06.2019. 9:00 05.07.2019. 9:00 све Основи рачунарске

Више

I година Назив предмета I термин Вријеме II термин Вријеме Сала Математика : :00 све Основи електротехнике

I година Назив предмета I термин Вријеме II термин Вријеме Сала Математика : :00 све Основи електротехнике I година Математика 1 2225 05.09.2019. 9:00 19.09.2019. 9:00 све Основи електротехнике 1 2226 02.09.2019. 9:00 16.09.2019. 9:00 све Програмирање 1 2227 06.09.2019. 9:00 20.09.2019. 9:00 све Основи рачунарске

Више

I година Назив предмета I термин Вријеме II термин Вријеме Сала Математика : :00 све Основи електротехнике

I година Назив предмета I термин Вријеме II термин Вријеме Сала Математика : :00 све Основи електротехнике I година Математика 1 2225 07.02.2019. 9:00 21.02.2019. 9:00 све Основи електротехнике 1 2226 04.02.2019. 9:00 18.02.2019. 9:00 све Програмирање 1 2227 08.02.2019. 9:00 22.02.2019. 9:00 све Основи рачунарске

Више

ELEKTRONIKA

ELEKTRONIKA МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА РЕПУБЛИКЕ СРБИЈЕ ЗАЈЕДНИЦА ЕЛЕКТРОТЕХНИЧКИХ ШКОЛА РЕПУБЛИКЕ СРБИЈЕ ДВАДЕСЕТ ДРУГО РЕГИОНАЛНО ТАКМИЧЕЊЕ ЗАДАЦИ ИЗ ЕЛЕКТРОНИКЕ ЗА УЧЕНИКЕ ТРЕЋЕГ РАЗРЕДА

Више

I година Назив предмета I термин Вријеме Сала Математика :00 све Основи електротехнике :00 све Програмирање

I година Назив предмета I термин Вријеме Сала Математика :00 све Основи електротехнике :00 све Програмирање I година Математика 1 2225 03.10.2019. 15:00 све Основи електротехнике 1 2226 30.09.2019. 15:00 све Програмирање 1 2227 04.10.2019. 15:00 све Основи рачунарске технике 2228 01.10.2019. 15:00 све Социологија

Више

PowerPoint Presentation

PowerPoint Presentation УВОД Дa би рaчунaри нa мрежи могли међусобно да кoмуницирaју и рaзмeњују пoдaткe, пoтрeбнo je: дa сe увeду ПРOТOКOЛИ (утврђeна прaвилa и процедуре за комуникацију) да постоје АДРEСE кoje су jeдинствeнe

Више

Konstrukcija i analiza algoritama Nina Radojičić februar Analiza algoritama, rekurentne relacije 1 Definicija: Neka su f i g dve pozitivne fun

Konstrukcija i analiza algoritama Nina Radojičić februar Analiza algoritama, rekurentne relacije 1 Definicija: Neka su f i g dve pozitivne fun Konstrukcija i analiza algoritama Nina Radojičić februar 2018. 1 Analiza algoritama, rekurentne relacije 1 Definicija: Neka su f i g dve pozitivne funkcije od argumenta n iz skupa N prirodnih brojeva.

Више

ТЕОРИЈА УЗОРАКА 2

ТЕОРИЈА УЗОРАКА 2 ТЕОРИЈА УЗОРАКА 2 12. 04. 13. ВЕЖБАЊА Написати функције за бирање елемената популације обима N у узорак обима n, код простог случајног узорка, користећи алгоритме: Draw by draw procedure for SRS/SRSWOR

Више

Model podataka

Model podataka Fakultet organizacionih nauka Uvod u informacione sisteme Doc. Dr Ognjen Pantelić Modeliranje podataka definisanje strategije snimanje postojećeg stanja projektovanje aplikativno modeliranje implementacija

Више

Microsoft PowerPoint - C-4-1

Microsoft PowerPoint - C-4-1 Pregled iskaza u C-u Izraz; Iskaz dodele, serijski komponovani iskaz; blok Uslovni iskazi i izrazi; složeno grananje Iterativni iskazi Iskaz dodele Promena vrednosti a = Ψ; Izračunava vrednost izraza Ψ,

Више

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i za generisanje željenih izlaznih signala (slika 1).

Више

АНКЕТА О ИЗБОРУ СТУДИЈСКИХ ГРУПА И МОДУЛА СТУДИЈСКИ ПРОГРАМИ МАСТЕР АКАДЕМСКИХ СТУДИЈА (МАС): А) РАЧУНАРСТВО И АУТОМАТИКА (РиА) и Б) СОФТВЕРСКО ИНЖЕЊЕ

АНКЕТА О ИЗБОРУ СТУДИЈСКИХ ГРУПА И МОДУЛА СТУДИЈСКИ ПРОГРАМИ МАСТЕР АКАДЕМСКИХ СТУДИЈА (МАС): А) РАЧУНАРСТВО И АУТОМАТИКА (РиА) и Б) СОФТВЕРСКО ИНЖЕЊЕ АНКЕТА О ИЗБОРУ СТУДИЈСКИХ ГРУПА И МОДУЛА СТУДИЈСКИ ПРОГРАМИ МАСТЕР АКАДЕМСКИХ СТУДИЈА (МАС): А) РАЧУНАРСТВО И АУТОМАТИКА (РиА) и Б) СОФТВЕРСКО ИНЖЕЊЕРСТВО И ИНФОРМАЦИОНЕ ТЕХНОЛОГИЈЕ (СИИТ) У циљу бољег

Више

The Contemporary Systems Development Project Landscape

The Contemporary Systems Development Project Landscape Budući projektni ciklusi u Evropi Horizon Europe, nakon Horizon 2020 Program za period 2021-2027 Oko 100 milijardi ulaganja u istraživanja i inovativne programe Glavne osobine: Jačanje nauke i tehnologije

Више

Р273 Пројектовање база података Примери питања за колоквијум 1. Навести најважније моделе података кроз историју рачунарства до данас. 2. Објаснити ос

Р273 Пројектовање база података Примери питања за колоквијум 1. Навести најважније моделе података кроз историју рачунарства до данас. 2. Објаснити ос Р273 Пројектовање база података Примери питања за колоквијум 1. Навести најважније моделе података кроз историју рачунарства до данас. 2. Објаснити основне концепте мрежног модела 3. Објаснити основне

Више

Електротехнички факултет Универзитета у Београду Катедра за рачунарску технику и информатику Kолоквијум из Интелигентних система Колоквију

Електротехнички факултет Универзитета у Београду Катедра за рачунарску технику и информатику Kолоквијум из Интелигентних система Колоквију Електротехнички факултет Универзитета у Београду 19.11.017. Катедра за рачунарску технику и информатику Kолоквијум из Интелигентних система Колоквијум траје h. Напуштање сале дозвољено је након 1h. Употреба

Више

Logičke izjave i logičke funkcije

Logičke izjave i logičke funkcije Logičke izjave i logičke funkcije Građa računala, prijenos podataka u računalu Što su logičke izjave? Logička izjava je tvrdnja koja može biti istinita (True) ili lažna (False). Ako je u logičkoj izjavi

Више

Microsoft PowerPoint - Bitovi [Compatibility Mode]

Microsoft PowerPoint - Bitovi [Compatibility Mode] Оператори над битовима (Јаничић, Марић: Програмирање 2, тачка 5.6) Оператори за рад са појединачним битовима Само на целобројне аргументе: ~ битовска негација & битовска конјункција (и) битовска (инклузивна)

Више

PowerPoint Presentation

PowerPoint Presentation + Fakultet organizacionih nauka Upravljanje razvojem IS MSc Ana Pajić Simović ana.pajic@fon.bg.ac.rs ANALIZA POSLOVNIH PROCESA BUSINESS PROCESS MANAGEMENT (BPM) PROCESS MINING + Business Process Management

Више

UputstvoElektronskiPotpis - osg1

UputstvoElektronskiPotpis - osg1 У П У Т С Т В О О БЛИЖИМ ТЕХНИЧКИМ УСЛОВИМА И НАЧИНУ ЕЛЕКТРОНСКОГ ПОТПИСА XML ДОКУМЕНАТА КОЈИ СЕ ДОСТАВЉАЈУ НБС ВЕРЗИЈА 0.5. Мај 2014. године Садржај: 1 ЕЛЕКТРОНСКИ ПОТПИС... 4 1.1 Увод... 6 1.2 Општа

Више

KORISNIČKE UPUTE APLIKACIJA ZA POTPIS DATOTEKA

KORISNIČKE UPUTE APLIKACIJA ZA POTPIS DATOTEKA KORISNIČKE UPUTE APLIKACIJA ZA POTPIS DATOTEKA SADRŽAJ 1. UVOD... 3 1.1. Cilj i svrha... 3 1.2. Područje primjene... 3 2. POJMOVI I SKRAĆENICE... 4 3. PREDUVJETI KORIŠTENJA... 5 4. PREGLED APLIKACIJE...

Више

RG_V_05_Transformacije 3D

RG_V_05_Transformacije 3D Računarska grafika - vežbe 5 Transformacije u 3D grafici Transformacije u 3D grafici Slično kao i u D grafici, uz razlike: matrice su 4x4 postoji posebna matrica projekcije Konvencije: desni pravougli

Више

P1.1 Analiza efikasnosti algoritama 1

P1.1 Analiza efikasnosti algoritama 1 Analiza efikasnosti algoritama I Asimptotske notacije Master metoda (teorema) 1 Asimptotske notacije (1/2) Služe za opis vremena izvršenja algoritma T(n) gde je n N veličina ulaznih podataka npr. br. elemenata

Више