SPR , IV godina, VHDL – Ispitna pitanja

Слични документи
Microsoft PowerPoint - 12a PEK EMT VHDL 1 od 4 - Uvod (2011).ppt [Compatibility Mode]

Microsoft PowerPoint - SRV LV5.ppt [Compatibility Mode]

ELEKTROTEHNIČKI FAKULTET, UNIVERZITET U BEOGRADU KATEDRA ZA ELEKTRONIKU UVOD U ELEKTRONIKU - 13E041UE LABORATORIJSKA VEŽBA Primena mikrokontrolera

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i

ODE_0 [Compatibility Mode]

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode]

LAB PRAKTIKUM OR1 _ETR_

LAB 4 - Binarni komparator

Logicko projektovanje racunarskih sistema I

Elektrotehnički fakultet Univerziteta u Beogradu Relejna zaštita laboratorijske vežbe Vežba 3: ISPITIVANJE ELEKTRONSKOG FREKVENCIJSKOG RELEJA RFN-30 U

Microsoft PowerPoint - Timer0 16F887.ppt [Compatibility Mode]

Microsoft PowerPoint - DAC.ppt [Compatibility Mode]

Lekcija 4 Povezivanje NI DAQ hardvera. Testiranje i simulacija NI DAQ hardvera. Akvizicija pomoću Express VIs 1. Cilj vežbe I deo Cilj vežbe je da stu

ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU

Microsoft PowerPoint - 13 PIK (Mentor Graphic ASIC).ppt

RIP (računalom integrirana proizvodnja, engl. CIM) Embedded računala Internet of Things (IoT) Open source hardware i software Hardware maker movement

Slide 1

Увод у организацију и архитектуру рачунара 1

ELEKTROTEHNIČKI FAKULTET UNIVERZITETA U BEOGRADU

Microsoft Word - SIORT1_2019_K1_resenje.docx

Microsoft PowerPoint - 12 PAIK Planiranje rasporeda modula (2016) [Compatibility Mode]

Microsoft Word - 4.Ee1.AC-DC_pretvaraci.10

KDP

zad_6_2.doc

VIK-01 opis

n50

IRIM-UKI Croatian Makers

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x

Za savremeno stanovanje classic line PRIMERA 1 NOPALLUX

pricalica.PDF

NIZOVI

Орт колоквијум

Kombinatorno testiranje

Microsoft PowerPoint - 1.DE.RI3g.09.Uvod

Microsoft PowerPoint - PRI2014 KORIGOVANO [Compatibility Mode]

1. BP LED SIJALICA E27 BP LED Sijalica je tip štedne sijalice (SSL) koja koristi diode koje emitiraju svijetlost (LEDs) kao izvor svijetlosti. Te diod

UNIVERZITET UKSHIN HOTI PRIZREN FAKULTET RAČUNARSKIH NAUKA PROGRAM: TIT - BOS NASTAVNI PLAN-PROGRAM SYLLABUS Nivo studija Bachelor Program TIT-Bos Aka

Plastenik Upute.cdr

Projektovanje digitalnih sistema

CRNOGORSKI KOMITET CIGRE Fuštić Željko doc. dr Martin Ćalasan Elektrotehnički fakultet,ucg Simulacione i eksperim

Microsoft Word - WP_kolokvij_2_rjesenja.doc

PROGRAMIRANJE Program je niz naredbi razumljivih računalu koje rješavaju neki problem. Algoritam je postupak raščlanjivanja problema na jednostavnije

Osnovni programiranja I

Microsoft Word - Svrha projekta.doc

Slide 1

Oblikovanje i analiza algoritama 5. predavanje Saša Singer web.math.pmf.unizg.hr/~singer PMF Matematički odsjek, Zagreb OAA 2017, 5. pr

Opticum HD - Uputstvo za skeniranje kanala.docx

Jasna Kellner

UPUTSTVO ZA KRETANJE KROZ EON KORISNIČKI INTERFEJS 1

Kako spriječiti petlju

F84 Zahtjev za priznavanje inostrane visokoškolske kvalifikacije (zaokružiti) Application for academic recognition of foreign higher qualification (ci

MY19.25 Cenovnik Novi Ecosport

Универзитет у Нишу Природно-математички факултет Увод у рачунарство Број индекса 200 II домаћи задатак 1. За прекидачку функцију ff(xx 1, xx 2, xx 3 )

Logičke izjave i logičke funkcije

M03L Wired Laser Mouse

Fakultet tehničkih nauka, Novi Sad Predmet: ISIBP

SEMINAR

PASTIME d

_ _BDA_Unterbauradio_Auna.indd

Energetski pretvarači 1 Februar zadatak (18 poena) Kondenzator C priključen je paralelno faznom regulatoru u cilju kompenzacije reaktivne sna

Microsoft Word - sm - ISPITNA PITANJA1.doc

Katalog_novi_web

Ovdje dolazi logo BLAŽ BAROMIĆ

PowerPoint Presentation

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011)

Microsoft PowerPoint - SRV LV1 2.ppt [Compatibility Mode]

Informacije o proizvodu Instalacija-iKey-čitača AZU30000 TCS TürControlSysteme AG Geschwister-Scholl-Str. 7 D Genthin Technische Änderungen vorb

KDP

Matematika 2 za kemi are prvi kolokvij, 27. travnja Napomene. Dopu²tena pomagala za rje²avanje kolokvija su: kalkulator, tiskane ili rukom pisan

Slight JESEN 2018 Komercijalna Cene A.cdr

Prezentator: Nataša Dvoršak Umag, 20.listopad 2006

Programiranje 1 Beleške sa vežbi Školska 2007/2008 godina Matematički fakultet, Beograd Jelena Tomašević December 5, 2007

F-6-59

Универзитет у Бањој Луци Електротехнички факултет Катедра за Општу електротехнику предмет: Теорија електричних кола 1 ЛАБ 01: Симулација електричних к

poster2

Pumping Smart Card

Kolokvijum_MPK_2008.doc

Z-16-32

Microsoft PowerPoint - GR_MbIS_12_IDEF

KORISNIČKO UPUTSTVO

MIP-heuristike (Matheuristike) Hibridi izmedu metaheurističkih i egzaktnih metoda Tatjana Davidović Matematički institut SANU

Elektrotehnički fakultet Univerziteta u Beogradu Relejna zaštita laboratorijske vežbe Vežba 4: ISPITIVANJE STATIČKE GENERATORSKE ZAŠTITE Cilj vežbe je

M-3-699

MILANO ZONA12 26 CIJENA: NA UPIT MOTOR: 250w rear BATTERY: 36v 5,2 ah integrated SPEED: single speed TIRE: CTS 26 x1,5 BRAKE: caliper MONITOR: LCD dis

Priručnik za Computer Setup (F10)

Naslov seminarskog rada

Mikroelektronske tehnologije

Транскрипт:

VHDL, SPR, II kolokvijum, priprema, 2016 Napomena: Na kolokvijumu dolazi 1 zadatak (40%) i 1 praktican projekat (60%). Radi se u grupama prema utvrdjenom rasporedu od I kolokvijuma. A. ZADAI Priloziti VHDL Kod i Simulacija za sledeće zadatke? 1. 4-bit Unsigned Up ounter with Asynchronous lear The following table shows pin definitions for a 4-bit unsigned up counter with asynchronous clear. IO Pins Description LR Positive-Edge lock Asynchronous lear (active High) Q[3:0] Data Output use ieee.std_logic_unsigned.all; entity counter is port(, LR : in std_logic; Q : out std_logic_vector(3 downto 0)); end counter; architecture archi of counter is signal tmp: std_logic_vector(3 downto 0); process (, LR) if (LR='1') then tmp <= "0000"; elsif ('event and ='1') then tmp <= tmp + 1; Q <= tmp;

2. 4-bit Unsigned Up/Down counter with Asynchronous lear The following table shows pin definitions for a 4-bit unsigned up/down counter with asynchronous clear. IO Pins LR Description Positive-Edge lock Asynchronous lear (active High) UP_DOWN up/down count mode selector Q[3:0] Data Output VHDL ode Following is the VHDL code for a 4-bit unsigned up/down counter with asynchronous clear. library ieee; use ieee.std_logic_unsigned.all; entity counter is port(, LR, UP_DOWN : in std_logic; Q : out std_logic_vector(3 downto 0)); end counter; architecture archi of counter is signal tmp: std_logic_vector(3 downto 0); process (, LR) if (LR='1') then tmp <= "0000"; elsif ('event and ='1') then if (UP_DOWN='1') then tmp <= tmp + 1; else tmp <= tmp - 1; Q <= tmp; 3. 4-bit Unsigned Up ounter with Asynchronous Load from Primary Input The following table shows pin definitions for a 4-bit unsigned up counter with asynchronous load from primary input. IO Pins Description

Positive-Edge lock ALOAD Asynchronous Load (active High) D[3:0] Q[3:0] Data Input Data Output VHDL ode Following is the VHDL code for a 4-bit unsigned up counter with asynchronous load from primary input. library ieee; use ieee.std_logic_unsigned.all; entity counter is port(, ALOAD : in std_logic; D : in std_logic_vector(3 downto 0); Q : out std_logic_vector(3 downto 0)); end counter; architecture archi of counter is signal tmp: std_logic_vector(3 downto 0); process (, ALOAD, D) if (ALOAD='1') then tmp <= D; elsif ('event and ='1') then tmp <= tmp + 1; Q <= tmp; 4. 8-bit Shift-Left Register with Positive-Edge lock, Asynchronous lear, Serial In, and Serial Out Note Because this example includes an asynchronous clear, XST will not infer SRL16. The following table shows pin definitions for an 8-bit shift-left register with a positiveedge clock, asynchronous clear, serial in, and serial out. IO Pins Description SI LR SO Positive-Edge lock Serial In Asynchronous lear (active High) Serial Output

VHDL ode Following is the VHDL code for an 8-bit shift-left register with a positive-edge clock, asynchronous clear, serial in, and serial out. library ieee; entity shift is port(, SI, LR : in std_logic; SO : out std_logic); end shift; architecture archi of shift is signal tmp: std_logic_vector(7 downto 0); process (, LR) if (LR='1') then tmp <= (others => '0'); elsif ('event and ='1') then tmp <= tmp(6 downto 0) & SI; SO <= tmp(7); 5. 8-bit Shift-Left/Shift-Right Register with Positive-Edge lock, Serial In, and Parallel Out Note For this example XST will not infer SRL16. The following table shows pin definitions for an 8-bit shift-left/shift-right register with a positive-edge clock, serial in, and serial out. IO Pins SI Description Positive-Edge lock Serial In LEFT_RIGHT Left/right shift mode selector PO[7:0] Parallel Output VHDL ode Following is the VHDL code for an 8-bit shift-left/shift-right register with a positive-edge clock, serial in, and serial out. library ieee; entity shift is port(, SI, LEFT_RIGHT : in std_logic;

PO : out std_logic_vector(7 downto 0)); end shift; architecture archi of shift is signal tmp: std_logic_vector(7 downto 0); process () if ('event and ='1') then if (LEFT_RIGHT='0') then tmp <= tmp(6 downto 0) & SI; else tmp <= SI & tmp(7 downto 1); PO <= tmp; 6. Logical shifter The following table shows pin descriptions for a logical shifter. IO pins D[7:0] SEL Description Data Input shift distance selector SO[7:0] Data Output VHDL Following is the VHDL code for a logical shifter. library ieee; use ieee.numeric_std.all; entity lshift is port(di : in unsigned(7 downto 0); SEL : in unsigned(1 downto 0); SO : out unsigned(7 downto 0)); end lshift; architecture archi of lshift is with SEL select SO <= DI when "00", DI sll 1 when "01", DI sll 2 when "10", DI sll 3 when others; 7. RING JONSON OUNTER library IEEE;

use IEEE.STD_LOGI_1164.ALL; use IEEE.NUMERI_STD.ALL; entity ring_counter is port ( DAT_O : out unsigned(3 downto 0); RST_I : in std_logic; LK_I : in std_logic ); end ring_counter; architecture Behavioral of ring_counter is signal temp : unsigned(3 downto 0):=(others => '0'); DAT_O <= temp; process(lk_i) if( rising_edge(lk_i) ) then if (RST_I = '1') then temp <= (0=> '1', others => '0'); else temp(1) <= temp(0); temp(2) <= temp(1); temp(3) <= temp(2); temp(0) <= temp(3); end Behavioral; library IEEE; use IEEE.STD_LOGI_1164.ALL; use IEEE.NUMERI_STD.ALL; entity johnson_counter is port ( DAT_O : out unsigned(3 downto 0); RST_I : in std_logic; LK_I : in std_logic ); end johnson_counter; architecture Behavioral of johnson_counter is signal temp : unsigned(3 downto 0):=(others => '0');

DAT_O <= temp; process(lk_i) if( rising_edge(lk_i) ) then if (RST_I = '1') then temp <= (others => '0'); else temp(1) <= temp(0); temp(2) <= temp(1); temp(3) <= temp(2); temp(0) <= not temp(3); end Behavioral;

B. Praktični problemi Demonstrirati rad kola na DE2-70 ploči? 1. Projektovati kolo treptućeg svijetla koje se pogoni klokom frekvencije 1Hz ili 2Hz na pinu LED. Ulaz je LK 50MHz, a izlaz LEDR[0]. Frekvencija treperenja se bira parametrom SEL (SW1) a. Upotrebljava se DE2-70 ploča. L K ( P IN _ AD15) L E D ( P IN _ AJ6 ) D IV ID E R SEL (1HZ,2HZ) 2. Projektovati kolo treptućeg svijetla koje se pogoni klokom frekvencije LK 50MHz, a na izlazima LED1 i LED2 daje signal od 1Hz i 2Hz. START/STOP pogoni ili stopira rad kola (SW1). Upotrebljava se DE2-70 ploča. Izabrati led diode sa ploce po zelji. L K ( P IN _ AD15) START/ STOP D IV ID E R LED1, 1Hz LED2, 2Hz 3. Projektovati kolo sifrarnika. Ulazi su priključeni na SW0 (PIN_AA23), SW1 (PIN_AB26), SW2 (PIN_AB25), SW3 (PIN_A27) prekidače koji simuliraju dovodjenje 0 ili 1. SW4(PIN_A26) je prekidač koji dozvoljava rad Sistema. SW4 =1, sifrarnik radi ili obrnuto. Postoje 2 izlaza OUT1(PIN_AJ6) i OUT2(PIN_AK5) koji pokazuju da li je sifra u redu ili nije, a vezani su na odgovarajuće LED diode. 4. Projektovati kolo alarma za automobil. Alarm je aktivan sa ON (SW5). SW0-SW4 simuliraju otvorena vrata. Izlaz OUT sa logickom 1 omogucava rad flash kola koje predstavlja divider sa izlaznom frekvencijom od 1Hz. Ako su bilo koja od vrata otvorena izlazna LED dioda blinkuje ili obrnuto.

sw0 sw1 sw2 ALARM ON (SW5) sw3 sw4 OUT L K ( P IN _ AD15) L E D ( P IN _ AJ6 ) D IV ID E R 1SE FLASH 5. Projektovati kolo brojača koji se pogoni klokom frekvencije 1Hz, a moze da broji na gore ili na dolje, sto zavisi od stanja ulaza U_D. Brojac ima i asinhroni clear ulaz, LEAR. Izlazi brojaca, D[3..0] su vezani na LED diode na DE2-70 ploči. U_D: SW0 LEAR: KEY0 LK: 50MHz clock D[0]: LEDR0, D[0]: LEDR1, D[0]: LEDR2, D[0]: LEDR3, Parameter Value Type N 10000000 Signed Integer div PIN_AD15 LK INPUT V clk clk_new u_d_counter Parameter Value Type N 4 Signed Integer inst LR UP_DOWN inst1 Q[n-1..0] OUTPUT D[3..0] PIN_AJ6 PIN_AK5 PIN_AJ5 PIN_AJ4 PIN_T29 LEAR INPUT V PIN_AA23 U_D INPUT V 6. Projektovati kolo bcd_7seg dekodera. d0, d1, d2, d3 ulazi su priključeni na SW0, SW1, SW2, SW3 prekidače koji simuliraju dovodjenje 0 ili 1. a, b,c,d, e,f,g izlazi su prilključeni na odgovarajuće segmente displeja. Raspored pinova čipa na koje su vezani odgovarajući ulazi i izlazi