Microsoft PowerPoint - 3 PIK (Ocena uspesnosti).ppt

Слични документи
Microsoft PowerPoint - 12 PAIK Planiranje rasporeda modula (2016) [Compatibility Mode]

Mikroelektronske tehnologije

Рјешавање проблема потрошње у чиповима Александар Пајкановић Факултет техничких наука Универзитет у Новом Саду Фабрика чипова у Србији: има ли интерес

ELEKTRONIKA

oae_10_dom

Microsoft PowerPoint - 10 PEK EMT Logicka simulacija 1 od 2 (2012).ppt [Compatibility Mode]

Projektovanje analognih integrisanih kola Projektovanje analognih integrisanih kola Prof. Dr Predrag Petković, Dejan Mirković Katedra za elektroniku E

Microsoft Word - oae-09-dom.doc

VIK-01 opis

FIZIČKA ELEKTRONIKA

Техничко решење: Метода мерења ефективне вредности сложенопериодичног сигнала Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић

Algoritmi i arhitekture DSP I

FIZIČKA ELEKTRONIKA

Техничко решење: Метода мерења реактивне снаге у сложенопериодичном режиму Руководилац пројекта: Владимир Вујичић Одговорно лице: Владимир Вујичић Аут

Microsoft Word - Elektrijada_V2_2014_final.doc

TEORIJA SIGNALA I INFORMACIJA

Microsoft Word - 4.Ee1.AC-DC_pretvaraci.10

Microsoft PowerPoint - 13 PIK (Mentor Graphic ASIC).ppt

zad_6_2.doc

Техничко решење: Софтвер за симулацију стохастичког ортогоналног мерила сигнала, његовог интеграла и диференцијала Руководилац пројекта: Владимир Вуји

Z-18-61

?? ????????? ?????????? ?????? ?? ????????? ??????? ???????? ?? ??????? ??????:

LAB PRAKTIKUM OR1 _ETR_

Увод у организацију и архитектуру рачунара 1

Električne mreže i kola 5. oktobar Osnovni pojmovi Električna mreža je kolekcija povezanih elemenata. Zatvoren sistem obrazovan od elemenata iz

AKVIZICIJA PODATAKA SA UREĐAJEM NI USB-6008 NI USB-6008 je jednostavni višenamjenski uređaj koji se koristi za akviziciju podataka (preko USBa), kao i

EНЕРГЕТСКИ ПРЕТВАРАЧИ 1 јануар Трофазни једнострани исправљач прикључен је на круту мрежу 3x380V, 50Hz преко трансформатора у спрези Dy, као

Univerzitet u Beogradu Elektrotehnički fakultet Katedra za energetske pretvarače i pogone ISPIT IZ SINHRONIH MAŠINA (13E013SIM) 1. Poznati su podaci o

Microsoft PowerPoint - 3_Elektrohemijska_korozija_kinetika.ppt - Compatibility Mode

P11.3 Analiza zivotnog veka, Graf smetnji

ЕНЕРГЕТСКИ ТРАНСФОРМАТОРИ

Energetski pretvarači 1 Februar zadatak (18 poena) Kondenzator C priključen je paralelno faznom regulatoru u cilju kompenzacije reaktivne sna

Z-16-32

Microsoft Word - Tok casa Elektronski elementi Simeunovic Bosko

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011)

El-3-60

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011)

EMC doc

FTN Novi Sad Katedra za motore i vozila Potrošnja goriva Teorija kretanja drumskih vozila Potrošnja goriva

F-6-58

Microsoft Word - Novi proizvod - Sistem za komunikaciju 720 v1.doc

Pravilnik o priključenju spremnika energije na elektroenergetski sustav Zlatko Ofak (HOPS), Alan Župan (HOPS), Tomislav Plavšić (HOPS), Zora Luburić (

Z-16-48

Slide 1

Z-16-45

F-6-59

Microsoft PowerPoint - vezbe 4. Merenja u telekomunikacionim mrežama

ЕНЕРГЕТСКИ ПРЕТВАРАЧИ септембар 2005

_sheets.dvi

1

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, поштански преградак 34, ПАК телефон:

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011)

ДРУШТВО ФИЗИЧАРА СРБИЈЕ МИНИСТАРСТВО ПРОСВЕТЕ И СПОРТА РЕПУБЛИКЕ СРБИЈЕ Задаци за републичко такмичење ученика средњих школа 2006/2007 године I разред

Microsoft PowerPoint - jkoren10.ppt

Slide 1

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИЛИ кола дат је на след

Broj indeksa:

Microsoft Word - R Predmet 14-Strategijski menadzment

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011)

Elektrotehnički fakultet Univerziteta u Beogradu Relejna zaštita laboratorijske vežbe Vežba 4: ISPITIVANJE STATIČKE GENERATORSKE ZAŠTITE Cilj vežbe je

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011)

Испит из Основа рачунарске технике OO /2018 ( ) Р е ш е њ е Задатак 5 Асинхрони RS флип флопреализован помоћу НИ кола дат је на следећ

Софтвер: Софтверски алат за брзо одређивање електричних карактеристика индуктора из S-параметара Руководилац пројекта: проф. др Љиљана Живанов Одговор

10_Perdavanja_OPE [Compatibility Mode]

Mikroelektronske tehnologije

Logičke izjave i logičke funkcije

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011)

Microsoft PowerPoint - 01 PEK EMT Uvod (2013).ppt [Compatibility Mode]

Microsoft Word - III godina - EA - Metodi vjestacke inteligencije

ТЕСТ ИЗ ФИЗИКЕ ИМЕ И ПРЕЗИМЕ 1. У основне величине у физици, по Међународном систему јединица, спадају и следеће три величине : а) маса, температура,

ПРОУЧАВАЊЕ И ПРОЈЕКТОВАЊЕ МРЕЖОМ ПОВЕЗАНИХ ФОТОНАПОНСКИХ СИСТЕМА У ГРАДОВИМА Зоран Николић Seminar Društva za obnovljive izvore električne energije: F

Microsoft PowerPoint - Programski_Jezik_C_Organizacija_Izvornog_Programa_I_Greske [Compatibility Mode]

LABORATORIJSKI PRAKTIKUM- ELEKTRONSKE KOMPONENTE Laboratorijske vežbe 2018/2019

Орт колоквијум

Р273 Пројектовање база података Примери питања за колоквијум 1. Навести најважније моделе података кроз историју рачунарства до данас. 2. Објаснити ос

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, поштански преградак 34, ПАК телефон:

Nastavna cjelina: 1. Jezik računala Kataloška tema: 1.1. Bit 1.2. Brojevi zapisani četvorkom bitova Nastavna jedinica: 1.1. Bit   1.2. Brojevi zapisan

Kolokvijum_MPK_2008.doc

РЕПУБЛИКА СРБИЈА МИНИСТАРСТВО ПРИВРЕДЕ ДИРЕКЦИЈА ЗА МЕРЕ И ДРАГОЦЕНЕ МЕТАЛЕ Београд, Мике Аласа 14, ПП: 34, ПАК: телефон: (011)

I колоквијум из Основа рачунарске технике I СИ- 2017/2018 ( ) Р е ш е њ е Задатак 1 Тачка А Потребно је прво пронаћи вредности функција f(x

Microsoft PowerPoint - OMT2-razdvajanje-2018

untitled

Toplinska i električna vodljivost metala

Kvadrupolni maseni analizator, princip i primena u kvali/kvanti hromatografiji

Microsoft Word - Elektrijada_2008.doc

Microsoft PowerPoint - MODELOVANJE-predavanje 9.ppt [Compatibility Mode]

Microsoft Word - Smerovi 1996

Predmet: Marketing

OБЛАСТ: БЕЗБЕДНОСТ САОБРАЋАЈА ВЕШТАЧЕЊЕ САОБРАЋАЈНИХ НЕЗГОДА 1. Израчунати зауставни пут (Sz) и време заустављања ако су познати следећи подаци: брзин

Microsoft Word - Dopunski_zadaci_iz_MFII_uz_III_kolokvij.doc

PowerPoint Presentation

GV-2-35

Microsoft PowerPoint - 03-Slozenost [Compatibility Mode]

Elektronika 1-RB.indb

STABILNOST SISTEMA

ИСПИТНА ПИТАЊА ЗА ПРВИ КОЛОКВИЈУМ 1. Шта проучава биофизика и навести бар 3 области биофизике 2. Основне физичке величине и њихове јединице 3. Појам м

Projektovanje informacionih sistema i baze podataka

F-6-14

Транскрипт:

Fizičko projektovanje 3. Potpuno projektovanje po narudžbini Sadržaj:. Uvod Stilovi projektovanja 2. CMOS proces 3. Potpuno projektovanje po narudžbini 4. Delimično projektovanje po narudžbini Sadržaj: 3. Ocena uspešnosti projekta 3.2 Projektovanje statičkih logičkih kola 3.3 Simboličko projektovanje 3.4 Projektovanje veza 3.5 Uzroci otkaza 4 5 3. Ocena uspešnosti projekta 3. Ocena uspešnosti projekta Kriterijumi za procenu kvaliteta projekta: Cena Pouzdanost Potrošnja energije Mogućnost skaliranja Pored kriterijuma treba sagledati parametre od kojih zavisi ispunjenost kriterijuma. Postoji više parametara a najvažniji su: Površina čipa Vreme projektovanja Testabilnost 6 7

3. Ocena uspešnosti projekta 3. Ocena uspešnosti projekta Manja površina znači - manje silicijuma, - veći prinos ali - veća kašnjenja - veća gustina snage. Kraće projektovanje znači - Manja cena projektovanja, - Veća površina čipa - Brži izlazak na tržište. 8 9 3. Ocena uspešnosti projekta 3. Ocena uspešnosti projekta Testabilnost označava sposobnost kola da bude testirano. Testirano kolo garantuje kvalitet i opstanak na tržištu. - Projektovanje za testabilnost, - ugradnja testne logike na čipu za efikasno testiranje - Veća površina čipa - Veća cena projektovanja. Svaki projekat ima specifične prioritete u određivanju parametara i kriterijuma.

3.. Cena Cena projekta IK zavisi od Fiksnih troškova C f i proporcionalnih troškova C p : C f C = + C p N N obim proizvodnje 3.. Cena U fiksne troškove C f spadaju: Troškovi projektovanja, C D Troškovi izrade maske, C M Ostali fiksni troškovi (zakup prostora, i sl.), C fo Najveći deo fiksnih troškova odnosi se na cenu projektovanja koju čine investiranje u projektante i investiranje u alate. C = C + C + C f D M fo 2 3 3.. Cena 3.. Cena Troškovi proporcionalni obimu proizvodnje C p : Troškovi utrošenog materijala (silicijuma, hemikalija i sl.) za proizvodnju jednog čipa, C P, Troškovi asembliranja (montaže u kućište), Ca, Troškovi testiranja, Ct, Ostali troškovi (pakovanja i sl.), Cpo, proporcionalni veličini serije i površini čipa 4 Da bi se odredila cena proizvodnje IK (koja direktno utiče na proporcionalne troškove), treba definisati i pojam prinosa (Yield, Y). Y = N N pi p Prinos se definiše kaokoličnik broja ispravnih peleta na pločici N pi i broja ukupnog broja peleta na pločici N p 5

3.. Cena Napločici prečnika D w moguće je proizvesti ukupno N p peleta površine A p Plo čica ( wafer) π Np = Pelet (die) ( Dw ) /2 2 π Dw Ap 2 Ap 3.. Cena Na prinos po pločici utiče gustina defekata g D [broj defekata/cm 2 ]i dimenzije peleta 6 α = + g D A p Yp gde je α 3 α 7 3.. Cena 3.. Cena Cena izrade jednog peleta C P može da se definiše kao odnos cene procesiranja jedne pločice C W i ukupnog broja ispravnih peleta: C P = CW Np Yp Treba dodati i ostale proporcionalne troškove koji se odnose na cenu asembliranja, C a, testiranja C t i pakovanja, C pa tako da je: C = C + C + C + C p P a t pa 8 9

Projektovanje pouzdanih IK zahteva poznavanje osnovnih uzroka potencijalnih defekata. Defekti koji izazivaju potpuni gubitak funkcije kola nazivaju se otkazi ili tvrdi defekti. Ukoliko defekt naruši neki parametar kola (kašnjenje, potrošnja i sl.) ali ne izazove potpuni gubitak funkcije, onda se on naziva meki defekt. Osnovni pojmovi: Srednje vreme otkaza označava se sa MTBF Mean Time Between Failours, a računa se kao MTBF = (broj_ik časovi_rada)/(broj_otkaza) 20 2 Osnovni pojmovi: Broj otkaza u vremenu označava se sa FIT Failurs In Time, a računa se kao FIT = mogući broj otkaza kod 6 komponenti posle 00 sati rada = 9 (broj otkaza/času) Kod komponenata sa FIT=00 očekuje se otkaz posle 6 časova, odnosno 4 godina. To važi za jednu komponentu, međutim, ako uređaj ima u sebi komponenti sa istim FIT faktorom od 00, a isporučuje se 0 uređaja, FIT za celu seriju biće 00 0= 6, što znači da se očekuje otkaz na 00 sati rada, odnosno 42 dana. Zato je cilj da se postigne pouzdanost FIT < 0. 22 23

Najčešći uzroci otkaza su: Elektromigracija Samozagrevanje, Vrući nosioci (Hot carier), Lečap (Latchup), Preveliki napon. Elektromigracija predstavlja pojavu odnošenja metala koja nastaje migriranjem atoma metala usled velikih gustina struja. Problem je naročito izražen kod jednosmernih struja jer atomi migriraju u jednom smeru i brže dolazi do oštećenja veza. Otuda je ovaj proces izražen kod metalnih linija vezanih za drejn i sors. 24 25 Na elektromigraciju naročito su osetljive aluminijumske veze kod kojih gornja granica gustine jednosmernih struja iznosi J DC =-2 ma/mm 2 pri o C. Za bakar J DC > ma/mm 2. 26 Samozagrevanje takođe nastaje usled velikih gustina struja, a karakteristično je za bidirekcione veze u kojima se signali prostiru u oba smera i za komponente sa pozitivnim temperaturnim koeficijentom. Imajući u vidu da elektromigracju pospešuje povišena temperatura, najčešće oba uzroka deluju kombinovano. Granična vrednost gustine efektivne struje za aluminijumske veze iznosi Jeff < 5mA/mm 2. 27

Oba problema (elektromigracija i samozagrevanje) rešavaju se smanjenjem gustine struja: - tako što se poveća širina veza ili - smanji odnos W/L tranzistora, čime daje manju struju. Vrući nosioci nastaju tokom brze promene stanja tranzistora, kada nosioci dobiju dovoljnu energiju da injektuju u oksid ispod gejta i ostanu zarobljeni u njemu. Oštećeni oksid degradira I-V karakteristike tranzistora tako što smanjuje struju kod nmos, a povećava kod pmos tranzistora. 28 29 Negativni efekat je naročito ispoljen pri velikim strujama u supstratu koje su karakteristične kod nmos tranzistora u slučaju porasta ulaznog napona kada tranzistor radi u zasićenju. Problem je karakterističan za invertore i NOR kola sa velikim opterećenjem na izlazu u slučaju da se ulazni signal brzo menja i kod kola sa velikim naponima napajanja. 30 Lečap je pojava karakteristična za CMOS procese koja se manifestuje uspostavljanjem neželjene veze između napona napajanja VDD i VSS preko parazitnih bipolarnih tranzistora koji formiraju parazitni tiristor (PNPN struktura) 3

Pri normalnom radu, bipolarni tranzistori su zakočeni. Međutim, tokom uključivanja napajanja ili u slučaju da napon V sub > V SS ili V well < V DD, tranzistori provedu, a struja između V DD i V SS može da dovede do samozagrevanja i topljenja metalne veze napajanja. 32 Na ovaj efekat naročito su osetljive ulazno/izlazne (I/O Input/Output) ćelije. Efekat se umanjuje ubacivanjem zaštitnih prstenova n-tipa oko difuzija p-tipa i obrnuto. Prsten n-tipa vezuje se za VDD, Prsten p-tipa vezuje se za VSS 33 Preveliki napon, zavisno od mesta na kome se javi, može da ugrozi različite delove kola. Ukoliko se javi na gejtu tranzistora, lako može da izazove proboj tankog oksida. Veliki napon između drejna i sorsa može da dovede do proboja (punchthrough). Ovaj napon najčešće nastaje usled elektrostatičkog pražnjenja ili šuma u napajanju. Pouzdanost se povećava: - smanjenjem napona napajanja, - snižavanjem šuma u izvorima za napajanje ili - povećanjem debljine oksida u I/O ćelijama. 34 35

Osim tvrdih defekata, na pouzdanost utiču svi ostali faktori koji mogu da izazovu pogrešan rad IK: - šum koji potiče od izvora za napajanje, - preslušavanje, odnosno interferencija signala, - šumovi izazvani odstupanjem nekih karakteristika od nominalnih vrednosti. 36 Postoje dve osnovne strategije zaštite. Eliminacija izvora šuma, Povećnje margine šuma, (da kolo bude manje osetljivo na šumove). 37 Osetljivost na šum je manja kod logičkih kola sa većom marginom šuma. Opseg neodređenosti "" "0" V DD V OH V OHM V OLM LEDA - Laboratory for Electronic Design "0" Automation V O = f (V I ) V IL f Nagib= - V M V OL VILM VIHM Prag odluke Nagib= - V O = V I "" V IH V DD V I 38 Strategija za veću pouzdanost: Fizički udaljiti signale osetljive na šumove od izvora šuma, Ograditi osetljive delove čipa zaštitnim prstenovima. Nažalost, oba načina dovode do povećanja površine čipa. Pojam pouzdanosti vezan je za iskustvo, kako u projektovanju tako i u proizvodnji. 39

Brzina rada integrisinih kola zavisi od: dinamičkih parametara osnovnih logičkih blokova (uobičajeni naziv za osnovni logički blok je ćelija ili gejt) i veza. Osnovni vremenski parametri logičkih kola: vreme kašnjenja signala i vreme uspostavljanja signala. 40 Vreme kašnjenja (propagaciono kašnjenje) definiše se kao vreme koje protekne od trenutka kada pobudni signal pređe 50% nominalne vrednosti do trenutka kada odziv dostigne 50% nominalne vrednosti. 0% 50% 0% 0% 50% 0% pobuda odziv 4 Vreme uspostavljanja signala definiše se kao vreme za koje signal promeni vrednost od 20% do 80% nominalne vrednosti, za rastuću (prednju) ivicu, odnosno od 80% do 20% za opadajuću (zadnju) ivicu. 80% 20% Minimalno vreme kašnjenja odziva nekog logičkog kola na pobudu zove se kontaminaciono kašnjenje i označava se sa t c, Maksimalno vreme kašnjenja odziva naziva se propagaciono kašnjenje i obeležava se sa t p. t LH t HL 42 43

Dva aspekta brzine u proceni kvaliteta IK Povećanje brzine rada IK nastalo kao posledica uvođenja nove tehnologije sa manjim i bržim tranzistorima. Povećanje brzine prenosa signala u okviru IK koja se fabrikuju istim tehnološkim procesom. (Tehnološki) Smanjenje dimenzija tranzistora (skaliranje) rezultira smanjenjem svih dinamičkih parametara logičkih gejtova. Ono dovodi do smanjenja vremena potrebnog za obradu informacija, odnosno povećanja maksimalne radne frekvencija signala takta. 44 45 (Projektantski) Brzinu digitalnog kola ograničavaju dinamički parametri signala na putu sa najvećim kašnjenjem. To su takozvani kritični putevi. Zato se optimizacija sa stanovišta brzine obavlja sa ciljem da se izbegnu kritični putevi ili da se na njima kašnjenje signala svede u prihvatljive okvire. 46 O kritičnim putevima treba voditi računa na svim nivoima dekompozicije projekta, kako tokom strukturnog, tako i tokom fizičkog projektovanja. Dobro projektovana arhitektura može da reši najveći broj problema vezanih za kritične puteve. To zahteva detaljna znanja o algoritmima, ali i tehnologiji u kojoj se planira realizacija. 47

Treba znati: - koliko kašnjenja logičkih gejtova može da se uklopi u jednu poluperiodu signala takta, - koliko traje operacija sabiranja, - koliko brzo može da se pristupi memoriji i - koliko je kašnjenje signala na vezama. Na osnovu toga izračunava se da li i u koliko koraka može da se primeni segmentacija u protočnim arhitekturama (pipelining - pajplajning), ili da se utvrdi veličina memorije. 48 49 Na logičkom nivou apstrakcije bira se arhitektura optimalnog funkcionalnog bloka (tip sabirača, množača i sl.). Na električnom nivou, dodatna optimizacija kašnjenja može da se obavi izborom dimenzija tranzistora. U fizičkom domenu, - veoma je važno da se u startu napravi dobar plan površine (floor planning), jer od njega zavise rezultati globalnog i detaljnog razmeštaja i povezivanja; - moguće je dodatno fino podešavanje parazitnih kapacitivnosti od kojih značajno zavisi kašnjenje pojedinih logičkih gejtova. 50 5

Praktično je nemoguće popraviti na nižim nivoima apstrakcije greške koje su napravljene tokom projektovanja na višim nivoima. Realizacija logičke funkcije sa manjim kašnjenjem u određenoj tehnologiji obično zahteva veću površinu čipa, tako da se tokom projektovanja traži optimalni kompromis. CMOS kola karakteriše veoma mala potrošnja u odnosu na druge tehnologije. Praktično, potrošnja postoji samo tokom kratkog intervala u vreme promene stanja signala u kolu, dok je u stacionarnom stanju veoma mala. Zato se, tradicionalno, tokom projektovanja CMOS IK nije vodilo računa o potrošnji. 52 53 Drastično povećanje broja tranzistora na čipu i porast frekvencije takta dovelo je kriterijum potrošnje energije u prvi plan tokom projektovanja. Osnovni pojmovi Trenutna snaga izvora za napajanje, P(t), definiše se kao proizvod napona napajanja V DD i trenutne vrednosti struje koju kolo troši i DD (t) P( t) = i ( t) DD V DD 54 55

Osnovni pojmovi Srednja vrednost utrošene snage P, u toku vremenskog intervala T izračunava se kao Osnovni pojmovi Energija koja se potroši tokom vremenskog intervala T predstavlja inegral snage u vremenu: P s = T T 0 P( t) dt. E = T P( t) dt = 0 V T DD 0 i DD ( t) dt 56 57 Osnovni pojmovi Energija po operaciji, odnosno po promeni stanja na gejtu izračunava se kao proizvod utrošene snage i vremena koje protekne do uspostavljanja novog stacionarnog stanja. Jednaka je proizvodu snage i kašnjenja gejta. Kao mera kvaliteta logičke ćelije posmatra se i proizvod energije i kašnjenja gejta Osnovni pojmovi Disipacija snage ima dve komponente: - statičku i - dinamičku. 58 59

U idealnom slučaju, statička disipacija u CMOS kolima jednaka je nuli. Ovo je važilo u prvim decenijama primene CMOS kola. Sa smanjivanjem dimenzija tranzistora i porastom broja tranzistora po čipu, problem struja koje teku ( cure ) kroz zakočene tranzistore postaje dominantan 60 Osnovni uzroci struja curenja kroz zakočene tranzistore: predpragovske struje (struje koje teku pri naponima na gejtu tranzistora manjim od napona praga), struje nastale tunelovanjem elektrona kroz oksid gejta, struje curenja kroz inverzno polarisane pn spojeve. 6 Za napone na gejtu manje od napona praga, Vgs < Vt, struja nije jednaka nuli već eksponencijalno pada -3-4 -5-6 -7-8 -9 - - I [A] D Pre d p ra g ovska oblast Za sićenje 0 0.3 0.6 0.9 V t.2 V =.8 V DS.5 nmos (W/L=) 0,8 μm. V [V] GS.8 62 Za Vgs < Vt, teče mala predpragovska struja -3-4 -5-6 -7-8 -9 - - 0 I [A] D Pre d p ra g ovska oblast 0.3 0.6 V t Za sićenje 0.9.2 V =.8 V DS.5 nmos (W/L=) 0,8 μm. V [V] GS.8 63

-3-4 -5-6 -7-8 -9 - - 0 I [A] D Pre d p ra govska oblast 0.3 0.6 V t Za sićenje 0.9.2 V =.8 V DS Predpragovska struja raste sa opadanjem napona praga, što je neminovno kada se dimenzije tranzistora smanjuju.5 V [V] GS.8 Silicijum dioksid je odličan izolator, zato je curenje kroz oksid gejta bilo zanemarivo malo. Smanjenje dimenzija tranzistora ispod 30nm dovelo je do značajnog smanjenja debljine oksida gejta na ispod 2nm, tako da elektroni mogu mnogo lakše da tuneluju kroz njega. 64 65 Postoji i struja curenja kroz inverzno polarisane pn spojeve. U savremenim procesima ova struja je mnogo manja od prethodne dve, tako da može da se zanemari. Statička struja curenja I stat, postala je dovoljno značajna da se nalazi među kataloškim podacima za nove tehnologie i izražava se u na/μm dužine gejta. U uređajima koji se napajaju iz baterija, statička struja ne bi smela da premaši 0μA! 66 67

S obzirom da se vrednost ukupne statičke struje, Istat, ne menja sa vremenom, statička komponenta snage računa se kao: P stat = I stat V DD 68 Primer: Digitalni sistem koji je realizovan u 0nm procesu, sadrži 200 miliona tranzistora i napaja se sa.2v. Kontrolna logika sastoji se od 20 miliona tranzistora sa srednjom širinom kanala od 0.6μm. Ostali tranzistori čine memorijski niz, a njihova srednja širina iznosi 0.2μm. Svi tranzistori sem 20% onih u logičkim gejtovima realizovani su sa debljim oksidom tako da im je struja curenja gejta 0.002nA/μm. Oni imaju veći napon praga i predpragovsku struju od 0.02nA/μm. Ostali tranzistori realizovani su sa tanjim oksidom i manjim naponom praga, pa im je struja curenja gejta 3nA/μm, a predpragovska struja 20nA/μm. Proceniti statičku potrošnju, ako se pretpostavi da je polovina tranzistora zakočena i da je struja curenja inverzno polarizovanih pn spojeva zanemariva. 69 Rešenje: Ukupna dimenzija tranzistora sa povišenim strujama curenja iznosi W H =(0.2 20 6 ) (0.6μm) = 2.4 6 μm, Ukupna dimenzija tranzistora sa manjim strujama curenja W L =((0.8 20 6 )(0.6 μm)+ 80 6 (0.2μm))=45.6 6 μm. 70 Rešenje: Curenje struje gejta ispoljava se kod svih tranzistora, dok se predpragovska struja javlja samo kod tranzistora koji su zakočeni (50%). Ukupna statička struja curenja: I stat = 2.4 6 μm [ (20nA/μm)/2 + (3nA/μm)] + + 45.6 6 μm [ (0.02nA/μm)/2 + (0.002nA/μm)]= =32mA, a statička snaga P stat = (32mA) (.8V)=38mW. 7

Dinamička disipacija nastaje kao posledica promene stanja u logičkim kolima. Tom prilikom teku dve komponente struje kroz kolo. Struje punjenja/pražnjenja kondenzatora. Struje kroz tranzistore u kratkom intervalu kada vode i pmos i nmos tranzistori (struje kratkog spoja ) Uticaj struje punjenja/pražnjenja kondenzatora. Pretpostavimo da se kondenzator C ciklično puni/prazni između vrednosti V DD i V SS = GND = 0, sa srednjom frekvencijom f pp. Za vremenski interval t, on će se puniti/prazniti t f pp puta. Tokom jednog ciklusa ukupno naelektrisanje Q= C V DD preneće se od V DD do GND. 72 73 Uticaj struje punjenja/pražnjenja kondenzatora. Srednja snaga disipacije biće: P dync = = T T 0 V T DD i DD T 0 i ( t) V DD DD dt ( t) dt Uticaj struje punjenja/pražnjenja kondenzatora. Uz pretpostavku da se za interval T izvrši prenos celokupnog naelektrisanja Q dobija se P dync V = T DD 2 [ Tf ppcvdd ] = CVDD f pp 74 75

Uticaj struje punjenja/pražnjenja kondenzatora. Ne menjaju sva kola stanje u toku svakog taktnog intervala, već samo neka. Zato se definiše faktor aktivnosti α < P dync =α CV 2 DD Empirijski je utvrđeno da je za statička logička kola α 0. f c 76 Uticaj struje kratkog spoja. Tokom promene stanja u CMOS logičkim kolima, postoji interval u kome vode i nmos i pmos tranzistori. Ovo stanje traje dokle god je ulazni napon Vi u opsegu V tn < V i < VDD - V tp, (gde su V tn i V tp naponi praga nmos i pmos tranzistora, respektivno). 77 Uticaj struje kratkog spoja. Ukoliko se taj interval označi kao t sc, broj promena u kolu iskaže preko faktora aktivnosti i frekvencije takta, a struja u celom intervalu aproksimira vršnom vrednošću I peak, ukupna disipirana snaga usled ovog efekta biće jednaka: P dyns = α f c t sc V DD I peak Uticaj struje kratkog spoja. Ova komponenta snage raste ako su promene signala spore jer je vreme t sc duže. Da bi se smanjila ova komponenta snage, dobro je da se koriste tranzistori sa većom širinom kanala. 78 79

Ukupna dinamička potrošnja P P dyn dyn = P dync = α V + DD P dyns f c ( C V + t I ) DD sc peak 80 Primer Pretpostavimo da digitalni sistem iz prethodnog primera koristi statičke logičke CMOS ćelije sa faktorom aktivnosti od a L = 0., dok je faktor aktivnosti memorijskog dela α M = 0.05. a) Proceniti dinamičku disipaciju snage po MHz ako je podužna kapacitivnost 2fF/μm, (zanemariti kapacitivnost veza i struje kratkog spoja). b) Proceniti dinamičku potrošnju pri frekvenciji takta od GHz kada bi faktor aktivnosti svih tranzistora bio a = 0.25. 8 Rešenje: Kapacitivnost tranzistora u logičkom delu iznosi C L =(20 6 tranzistora) (0.6μm) (2 ff/μm)=24nf. Kapacitivnost u memorijskom delu iznosi C M =(80 6 tranzistora) (0.2μm) (2 ff/μm)=72nf. 82 Rešenje: a) Da bi se dobila dinamička disipacija po MHz, ne treba množiti sa fc, tako da je u logičkom delu P dyncl =α L C L V DD2 =(0.) (24-9 ) (.2V) 2 = 3.4564nW/Hz = 3.456 mw/mhz. U memorijskom delu iznosi P dyncm =α M C M V DD2 =(0.05) (72-9 ) (.2V) 2 =5.84nW/Hz =5.84 mw/mhz. Ukupna dinamička potrošnja iznosi P dyn =8.64 mw/mhz ili P dyn =8.64W pri f c =GHz. 83

Rešenje: b) U slučaju da je faktor aktivnosti svih tranzistora a=0.25, ukupna dinamička disipacija snage iznosila bi 3..5 Sposobnost skaliranja P dyn =α (C L +C M )V DD2 =(0.25) (24-9 +72-9 ) (.2V) 2 =34.56 mw/mhz što bi za frekvenciji takta od GHz daje disipaciju P dyn =34.56W. 84 Nova tehnološka generacija sa smanjenim dimenzijama tranzistora pojavljuje u roku od par godina. 85 3..5 Sposobnost skaliranja 3..5 Sposobnost skaliranja Projektant danas ne može da očekuje da će inovirani dizajn projektovati sa istim tehnološkim parametrima i pravilima, po kojima je projektovao prethodni. Zato unapred mora da zna kako će nova tehnologija uticati na performanse projekta. Skaliranje dimenzija od 6μm do μm, svodilo se samo na lateralno skaliranje, odnosno na smanjenje dužine kanala. Ostale dimenzije, kao što su širina kanala i debljina oksida, napon napajanja i koncentacije primesa nisu menjane. 86 87

3..5 Sposobnost skaliranja Ovakvo skaliranje, dovelo je do smanjenja kašnjenja sa kvadratom faktora skaliranja, S =L/L', L i L' označavaju staru i novu dužinu kanala, respektivno, (S> ). U istom odnosu redukovana je i cena. Standardi u veličini naponskih nivoa na ulano-izlaznim priključcima IK nisu se menjali gotovo 20 godina. 88 3..5 Sposobnost skaliranja Konstantan napon napajanja i smanjenje dužine kanala dovele su do znatnog povećanja električnog polja unutar komponente. Do μm tehnologije, brzina zasićenja nosilaca postala je tolika da dalje smanjenje dimenzija nije davalo očekivane rezultate. Pored toga, narastao je rizik od proboja usled velikog električnog polja. Zato je rešenje traženo u skaliranju sa konstantnim električnim poljem. 89 3..5 Sposobnost skaliranja Da bi polje ostalo konstantno, sa smanjenjem dužine kanala treba smanjiti i napon napajanja. S druge strane, da bi se zadržale i ostale karakteristike tranzistora, bilo je neophodno da se smanje sve dimenzije tranzistora (u sve tri dimenzije) i da se poveća koncentacija primesa. 3..5 Sposobnost skaliranja Parametar Uporedni prikaz skaliranih parametara kod lateralnog i skaliranja sa konstantnim el. Poljem Dužina kanala: L Koncentracija primesa: N Laterano skaliranje Širina kanala: W /S Debljina oksida: t ox /S Napon praga: V tn, Vtp /S Napon napajanja: V DD /S /S Konstantno el. polje /S S 90 9

3..5 Sposobnost skaliranja Karakteristika zavisnost od faktora skaliranja tranzistora Definicija lateralno skaliranje konstantno el. polje β (/t ox )(W/L) S S Struja: I ds β(v DD -V t ) 2 S /S Otpornost: R V DD /I ds /S Kapacitivnost gejta: C WL/t ox /S /S Kašnjenje: τ RC /S 2 /S Frekvencija takta: f c /τ S 2 S Dinamička P dinc Površina čipa: A Gustina snage Gustina struje disipacija: CV DD 2f c P dinc/ A I ds/ A S /S S 2 S 2 /S 2 /S 2 S 3..5 Sposobnost skaliranja Karakteristika veze Paralelna kapacitivnost: C wp Ukupna kapacitivnost veze: C w RC konstanta bez ripitera: t wu RC konstanta sa ripiterima: t wr Šum preslušavanja Gustina snage Gustina struje Definicija Otpornost veze: R w /wt S S 2 Bočna kapacitivnost: C wf t/s S w/h C w = C wf + C wp R wcw (RCR wcw) /2 t/s P dinc/ A I ds/ A 2D između i S između S i S 2 između i S /2 S S 2 S 2 Tip skaliranja S /2 3D S 2 S 92 93 3..5 Sposobnost skaliranja 3..5 Sposobnost skaliranja Karakteristika veze Dužina veze: l RC konstanta bez ripitera RC konstanta sa ripiterima Dužina globalne veze: L RC konstanta glbalne veze bez ripitera RC konstanta globalne veze sa ripiterima Definicija l 2 twu lt wr l 2 twu lt wr Tip skaliranja 2D /S između /S i između /S i (/S) /2 D c između SD 2 c i S 2 Dc 2 između D c/ S i D c (/S) /2 3D /S (/S) /2 D c S 2 D 2 c D c (/S) /2 S obzirom da se skaliraju napon napajanja i napon praga, margine postaju sve manje, tako da se sa skaliranjem dimenzija povećava osetljivost na šumove. Čim je razmak između veza manji, a frekvencije signala veće, raste opasnost od preslušavanja jer raste međusobna sprega između dve veze, kako preko induktivnih tako i preko kapacitivnih parazitnih elemenata. 94 95

3..5 Sposobnost skaliranja Uticaj skaliranja na preslušavanje: što su dimenzije tranzistora manje, promena signala na jednom vodu izaziva smetnju sa većom amplitudom na susednoj, ugroženoj vezi. V [V] 2.6 2.4 2.2 2.0.8.6.4.2.0 0.8 0.6 0.4 0.2 0.0 Pulsed Signal 0.2m CMOS 0.6m CMOS 0.25m CMOS 3..5 Sposobnost skaliranja Uticaj skaliranja na kašnjenje: 0 0.2 0.4 0.6 0.8.0.2.4.6.8 TIM E 96 97 3..5 Sposobnost skaliranja 3. Ocena uspešnosti projekta Current [A] Uticaj skaliranja na potrošnju snage: 80 70 60 50 40 30 20 0 264 0.75μm 264 2264 0.50μm 0.35μm Proce sor/ dužina kanala 2364 0.28μm 3.5 3.0 2.5 2.0.5.0 0.5 0.0 Voltage [V] Power [W] 20 0 80 60 40 20 0 264 0.75μm 264 2264 0.50μm 0.35μm Procesor/ dužina kanala 3.5 3.0 2.5 2.0.5.0 0.5 0.0 2364 0.28μm Voltage [V] Pitanja za proveru znanja: Elementarno:. Kriterijumi za procenu kvaliteta projekta integrisanog kola Obavezna. Osnovni parametri od kojih zavisi ispunjenost kriterijuma za procenu kvaliteta projekta IC. 2. Elementi koji utiču na cenu integrisanih kola. 98 99

3. Ocena uspešnosti projekta Potpuno projektovanje po narudžbini Pitanja za proveru znanja:. Elementi strategije projektovanja za veću pouzdanost. 2. Objasniti pojavu poznatu kao lečap i način zaštite. 3. Vremenski parametri koji karakterišu dinamičke osobine logičkih ćelija. 4. Od čega zavisi ukupna statička potrošnja u IC? 5. Od čega zavisi ukupna dinamička potrošnja u IC? 6. Objasniti razliku između lateralnog skaliranja i skaliranja sa konstantnim električnim poljem (ilustrovati uticajem na L, W, tox, Vt, gustina snage,...) Sledećeg časa: 3. Ocena uspešnosti projekta 3.2 Projektovanje statičkih logičkih kola 3.3 Simboličko projektovanje 3.4 Projektovanje dinamičkih logičkih kola 3.5 Projektovanje veza 3.6 Uzroci otkaza 0